Integrated digital calibration circuit and digital to analog converter (DAC)

A technology for digital-to-analog converters and calibration circuits, applied in the direction of digital-to-analog converters, analog/digital conversion calibration/testing, etc., can solve problems such as high cost, high complexity and cost, and expensive manufacturing technology

Inactive Publication Date: 2005-11-30
ANALOG DEVICES INC
View PDF0 Cites 14 Cited by
  • Summary
  • Abstract
  • Description
  • Claims
  • Application Information

AI Technical Summary

Problems solved by technology

However, the higher the expected precision, the higher the cost: requires higher precision design and production, increased software and / or circuitry, and more expensive manufacturing techniques
Additionally, compensating for such errors in systems including DACs, and their prior reference paths plus subsequent analog circuit chains (i.e. analog signal circuits), in terms of additional and more precise integrated circuits, or integrated in system software In terms of calibration system process, requires higher complexity and cost

Method used

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
View more

Image

Smart Image Click on the blue labels to locate them in the text.
Viewing Examples
Smart Image
  • Integrated digital calibration circuit and digital to analog converter (DAC)
  • Integrated digital calibration circuit and digital to analog converter (DAC)
  • Integrated digital calibration circuit and digital to analog converter (DAC)

Examples

Experimental program
Comparison scheme
Effect test

example 1

[0073] Example 1: Calculating m and c coefficients to correct for gain and offset errors

[0074] Vref+=4.096v

[0075] Vref-=0v

[0076] DAC resolution, N=12

[0077] Therefore, the ideal LSB = 4.096-0 / 2 11 = 1mv

[0078] Step 100 => 102 => Voutzs = -10mv

[0079] Step 100×106 => Voutfs = 4.115v

[0080] New step: calculate LSB size = (Voutfs-Voutzs) / (2 11 -1)=(4.115-0.01) / 4095=1.002442mv

[0081] Calculate c / zs=-(Voutzs-Voutzsideal) / LSB size=-(-0.01-0) / LSB size=9.9756

[0082] Quantize c / zs to required resolution (12b) => 10

[0083] Calculate m=ideal output range / (Voutfs-Voutzs)=4.095 / (4.115-0.010)=0.997564

[0084] Quantize m to required level (12b) => 4086

example 2

[0085] Example 2: Calculating zs and fs coefficients to remove zero-scale and full-scale errors

[0086] We can extend Example 1 and use the same data to calculate new coefficients as follows:

[0087] set dfs=-(Voutfs-Voutfs-ideal) / LSB size=-(4.115v-4.096) / 1.002442mv=18.954=18.954LSB

[0088] Quantize dfs to 12b (LSB) level: dfs→19LSB

[0089] set fs = Voutfs / LSB size = 4.115 / 1.002442mv = 4104.97565

[0090] Quantize fs to 12b (LSB) level: fs→4105

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

PUM

No PUM Login to view more

Abstract

An integrated digital calibration circuit and digital to analog converter includes a digital to analog converter (DAC) and a digital calibration circuit including a memory for storing predetermined end point coefficients of the digital to analog converter transfer function; and an arithmetic logic unit for applying the end point coefficients to the DAC input signal to adjust the end points of the DAC and/or analog signal chain.

Description

technical field [0001] The present invention relates to an integrated digital calibration circuit and digital-to-analog converter (DAC) for adjusting, modifying, adjusting or correcting the endpoints of a DAC transfer function. [0002] related application [0003] This application claims U.S. Provisional Application Serial No. 60 / 413,909 filed by Dempsey et al., entitled "DIGITAL-TO-ANALOG CONVERTER SYSTEMCALIBRATION," filed November 4, 2002 (AD-332J), and Serial No. 60,414,166, the applicant is Dempsey et al., entitled "DIGITAL-TO-ANALOG CONVERTER CALIBRATIONARCHITECTURE & SCHEME", the priority of the US provisional application filed on September 27, 2002. Background technique [0004] In most cases, it is necessary to adjust and control the DAC endpoints, such as zero scale (zeroscale), full scale (full scale) or gain and offset. One of the more important applications is endpoint error correction. That is, corrections for zero-scale and full-scale errors and gain and o...

Claims

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

Application Information

Patent Timeline
no application Login to view more
Patent Type & Authority Applications(China)
IPC IPC(8): H03M1/10
Inventor 丹尼斯・A・登普西托马斯・G・奥德怀尔阿兰・沃尔施图德・维尼雷亚努奥里沃・詹姆斯・布雷南
Owner ANALOG DEVICES INC
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products