System and method for realizing chip testing

A chip testing and chip technology, applied in the field of systems for realizing chip testing, can solve problems such as many pins, large area, and increased testing costs.

Active Publication Date: 2020-07-07
CRM ICBG (WUXI) CO LTD
View PDF17 Cites 9 Cited by
  • Summary
  • Abstract
  • Description
  • Claims
  • Application Information

AI Technical Summary

Problems solved by technology

[0004]The test enable exists independently, the test mode pins are sufficient, and the pure digital standard IO library is used. The testability design of this type of circuit should be bas

Method used

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
View more

Image

Smart Image Click on the blue labels to locate them in the text.
Viewing Examples
Smart Image
  • System and method for realizing chip testing
  • System and method for realizing chip testing
  • System and method for realizing chip testing

Examples

Experimental program
Comparison scheme
Effect test

Embodiment Construction

[0047] In order to describe the technical content of the present invention more clearly, further description will be given below in conjunction with specific embodiments.

[0048] The system for implementing chip testing of the present invention, wherein the system includes:

[0049] Analog IP module, used for analog IP testing;

[0050] A digital module, connected to the analog IP module, is used for testing internal communication signals;

[0051] The input and output unit library is connected with the digital module and is used to package the connection line;

[0052] The test module is connected with the analog IP module, the digital module and the input and output unit library, and is used for testing the chip;

[0053] The test module includes control pins, and the control pins are connected with the input-output unit library via the pins of the input-output unit library, and are used to control different test modes of the chip.

[0054] As a preferred embodiment of t...

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

PUM

No PUM Login to view more

Abstract

The invention relates to a system for realizing a chip testing, comprising: a simulation IP module used for carrying out a simulation IP test; the digital module that is connected with the analog IP module and is used for testing internal communication signals; the input/output unit library that is connected with the array module and is used for packaging connecting wires; and the test module thatis connected with the analog IP module, the digital module and the input/output unit library and is used for testing the chip. The invention also relates to a method for realizing the chip testing. The system and the method for realizing the chip testing are adopted; under the condition that the number of pins used by the chip is minimum, the problem of errors of DFT related tools is solved, various tests of the chip are realized, test pins do not need to be added, so that the area of the chip is reduced as much as possible, the cost is controlled, the test becomes more flexible, the whole chip can be controlled through input of individual pins, requirements on a test board card of a test machine are correspondingly reduced, the interface is simple, and the cost is reduced.

Description

technical field [0001] The present invention relates to the field of chips, in particular to the field of chip testing, in particular to a system and method for realizing chip testing. Background technique [0002] In the test design of the chip, when there are enough pins, one pin is usually used as the test enable, and several pins are selected as the test mode selection. According to different test modes, the required excitation is input through the pins, and the output Observe and compare. [0003] When doing DFT, you only need to run the script to define the corresponding test pins, confirm the status, and the IO library read in is a pure digital standard IO library with a clear structure definition, and the tool can insert the scan chain by itself and check the scan chain , coverage statistics, etc. [0004] The test enable exists independently, the test mode pins are sufficient, and the pure digital standard IO library is used. The testability design of this type of...

Claims

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

Application Information

Patent Timeline
no application Login to view more
IPC IPC(8): G01R31/28
CPCG01R31/2851
Inventor 翟昊方
Owner CRM ICBG (WUXI) CO LTD
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products