Patents
Literature
Patsnap Copilot is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Patsnap Copilot

447 results about "Testability" patented technology

Testability, a property applying to an empirical hypothesis, involves two components: The logical property that is variously described as contingency, defeasibility, or falsifiability, which means that counterexamples to the hypothesis are logically possible. The practical feasibility of observing a reproducible series of such counterexamples if they do exist. In short, a hypothesis is testable if there is some real hope of deciding whether it is true or false of real experience. Upon this property of its constituent hypotheses rests the ability to decide whether a theory can be supported or falsified by the data of actual experience. If hypotheses are tested, initial results may also be labeled inconclusive.

Leadframe strip having enhanced testability

A method of fabricating a semiconductor package comprising the step of providing a leadframe strip which defines a strip plane and a multiplicity of leadframes. Each of the leadframes includes an outer frame defining a central opening and a die pad disposed within the central opening. Each leadframe further includes a plurality of leads which are attached to the outer frame and extend toward the die pad in spaced relation to each other. The outer frames are integrally connected to each other and collectively define connecting bars which extend in multiple rows and columns and define saw streets. Semiconductor dies are attached to respective ones of the die pads, with the semiconductor dies being mechanically and electrically connected to the leads of respective ones of the leadframes. Thereafter, an encapsulant material is applied to the leadframe strip to form at least one mold cap which at least partially encapsulates the leadframes, the semiconductor dies, and the conductive wires. The leadframe strip and the mold cap collectively define a package strip. Isolation cuts are formed through the package strip along at least some of the saw streets to effectively electrically isolate the leadframes from each other.
Owner:AMKOR TECH SINGAPORE HLDG PTE LTD

Supportability evaluation of system architectures

A system, method and computer program product is disclosed for evaluating system architectures from a long term sustainability perspective, sustainability in the presence of rapidly evolving information and networking technology, rapidly evolving customer requirements and expectations, and rapidly evolving standards and protocols. The multi-attribute architecture evaluation method can include specific architectural characteristics. At the top level the present invention can include four architectural characteristics or attributes: modularity, commonality, standards-based, and reliability/maintainability/testability (RMT). The attributes can be further classified into sub-attributes and metrics to facilitate the comparative evaluation of candidate system architectures. In an exemplary embodiment of the present invention a decision support system, method and CPP for evaluating supportability of alternative system architecture designs is disclosed including: an analytic hierarchy process (AHP) model including a plurality of attributes, wherein the plurality of attributes includes: a commonality attribute; a modularity sub-attribute; a standards based sub-attribute; and a RMT sub-attribute. The present invention in an exemplary implementation can be embedded within a commercially available AHP shell, to facilitate adaptation to specific domains.
Owner:LOCKHEED MARTIN NAVAL ELECTRONICS & SURVEILLANCE

Design method of integrated circuit and hardware trojan detection method

The invention relates to a design method of an integrated circuit and a hardware trojan detection method. The design method comprises the following steps of acquiring a code of a register transfer level of an initial integrated circuit design; confirming a node position embedded by a potential hardware Trojan in the initial integrated circuit design according to the code; simulating the initial integrated circuit design to obtain a simulating circuit; computing the logic value probability of an interconnection line of the node position in the simulating circuit, and carrying out insertion design of testability circuits on the interconnection line of the node position according to the logic value probability; sequentially connecting the testability circuits in the simulating circuit to form a scan chain, and acquiring a new integrated circuit design according to the simulating circuit with the scan chain, wherein the scan chain is used for detecting the position of the hardware trojan of the integrated circuit according to the input test signals and the output results. According to the integrated circuit designed by the scheme of the invention, the position of the hardware trojan can be found, and the cost is reduced.
Owner:FIFTH ELECTRONICS RES INST OF MINIST OF IND & INFORMATION TECH

Health monitoring system of avionic electronic equipment based on ARINC653 standard

ActiveCN103544092AEnable on-board health managementEnsure safetyHardware monitoringOperational systemMonitoring system
The invention belongs to the aircraft avionics system technology and relates to a health monitoring system of avionic electronic equipment based on an ARINC653 standard. The health monitoring system adopts a mode of input step by step, diagnostic decision and stage treatment to process anomalous events, performs comprehensive diagnosis from a software task stage to an operation system and from an avionic electronic equipment layer to a full-aircraft system, and adopts a classification layered mode to assign fault events to processing steps of different stages according to a health monitoring stage, and processing permissions are enlarged step by step according to the health monitoring stage. By means of the mode of the stage treatment and report stage by stage, airborne health management of an aircraft is effectively achieved. Safety of fault processing is ensured through the processing permissions of different stages, and processing efficiency on the system fault events and sensing of the aircraft on the health states are improved by reporting the events stage by stage. Therefore, fault diagnosis recovery capability of the aircraft is effectively improved, and testability and safety of the aircraft are strengthened.
Owner:XIAN AIRCRAFT DESIGN INST OF AVIATION IND OF CHINA

Large scale integrated circuit test data and method for testing power consumption cooperate optimization

The invention relates to a method collaborating and optimizing the test data and the power consumption of testing large scale integrated circuits. The method relates to the technical field of the large scale integrated circuits and is proposed to resolve the problem that in the prior art of SOC test, no method is available to effectively reduce the test data and the power consumption of test at the same time. The method has the following steps: the compatibility of scanning units of the circuit is analyzed and accordingly the scanning units are classified into three categories; each category of scanning units are connected respectively, and a scanning chain with copying mechanism is built; a set of test vector is adjusted according to the new scanning chain structure; the test set is compressed by the method based on the compression of repeated data and a compressed test set TE is acquired. When in the testing process, the compressed data is fully recovered by a decompression circuit to be tested. The invention discloses a design method for the testability of integrated circuits, and reduces the power consumption of circuits in the testing process, thus ensuring the reliability and testability; furthermore, the invention can effectively reduce the quantity of test data, shorten the test process, and lessen the number of ATE channels.
Owner:HARBIN INST OF TECH

System maintenance time distribution method based on operation decomposition

InactiveCN102147889AClear time targetClear maintainability indicatorsInstrumentsTask analysisDecomposition
The invention relates to a system maintenance time distribution method based on operation decomposition, which comprises the following steps: 1. analyzing tasks, the step of analyzing the tasks comprises distribution request analysis, distribution object analysis, maintenance activity and influence factor analysis, wherein the distribution object analysis is mainly used for determining distributive system levels, functions and structural levels of all components of a device, and a product decomposed into the needed level by the system, namely, a replaceable unit, and mapping systemic functions and structural level diagrams; and the number of the levels is determined by complexity level of the device; 2. obtaining data, obtaining existing data of a distribution object required to be analyzed, namely, data of a similar product, fault frequency data, reachability data, testability data and the like; 3. selecting a distribution method, selecting high-level distribution or low-level distribution according to the stage of product design and the product level; 4. distributing indexes, distributing maintainability indexes of the given product to product units at all levels according to the selected method; 5. analyzing a result, judging whether a distribution result is in line with requirements and is feasible.
Owner:BEIHANG UNIV
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products