Compiling method for reducing multi-class memory access conflicts for coarse-grained reconfigurable structure

A memory access and compiler technology, which is applied in the field of coarse-grained reconfigurable structure compilers, can solve the problems of not considering the impact of memory access conflict performance, increasing the time cost of on-chip memory, and increasing the complexity of data storage in on-chip memory.

Active Publication Date: 2021-02-02
SHANGHAI JIAO TONG UNIV
View PDF13 Cites 0 Cited by
  • Summary
  • Abstract
  • Description
  • Claims
  • Application Information

AI Technical Summary

Problems solved by technology

Second, the delay caused by memory access conflicts between memory access operations in the same control cycle (Control Step, CS) accounts for 68.4% of the total running time
[0008] However, there are three problems in the above research: First, the above research is all about how to reduce multi-bank conflicts, but they all ignore the performance loss caused by interconnection conflicts. The kernel will greatly reduce the performance; second, the above-mentioned strategies for solving multi-bank conflicts can only solve the situation that the distance between the two memory access operations is constant during the entire operation process, and it is not suitable for the relationship between memory access operations. The situation changes with the progress

Method used

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
View more

Image

Smart Image Click on the blue labels to locate them in the text.
Viewing Examples
Smart Image
  • Compiling method for reducing multi-class memory access conflicts for coarse-grained reconfigurable structure
  • Compiling method for reducing multi-class memory access conflicts for coarse-grained reconfigurable structure
  • Compiling method for reducing multi-class memory access conflicts for coarse-grained reconfigurable structure

Examples

Experimental program
Comparison scheme
Effect test

Embodiment Construction

[0071] The following describes the preferred embodiments of the present application with reference to the accompanying drawings to make the technical content clearer and easier to understand. The present application can be embodied in many different forms of embodiments, and the protection scope of the present application is not limited to the embodiments mentioned herein.

[0072] The idea, specific structure and technical effects of the present invention will be further described below to fully understand the purpose, features and effects of the present invention, but the protection of the present invention is not limited thereto.

[0073] The hardware structure that the present invention is based on

[0074] The invention aims to optimize the scheduling and mapping process of the reconfigurable processor compiler, comprehensively consider the multi-storage conflicts and interconnection conflicts between memory access operators, and propose a method to reduce the memory acce...

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

PUM

No PUM Login to view more

Abstract

The invention relates to a compiling method for reducing multi-class memory access conflicts for a coarse-grained reconfigurable structure, which comprises the steps that when the rear end face of a compiler is high, a memory access pressure allocation method is adopted for processing, the starting time of different sub DFGs is adjusted, and a scheduling result is processed through an array initial address offset allocation method; according to the method, different array initial addresses accessed by all memory access operations within a period of time are adjusted, processing of a conflict perception mapping method is further included, different operations are mapped to a PE according to a conflict matrix and the current mapping situation, and the correctness of the mapping process is ensured through a reordering and backtracking algorithm. The method has the beneficial effects that multi-memory-bank conflicts among multiple groups are effectively reduced, and the time cost of a mainmemory for transmitting data to an on-chip memory by utilizing DMA is greatly reduced; higher application speed-up ratio on CGRA is avoided due to memory access conflict; the compiler rear-end process is simple in structure, low in algorithm complexity and high in compiling speed.

Description

technical field [0001] The invention relates to the field of a coarse-grained reconfigurable structure compiler, in particular to a data partition and operator mapping method for reducing multi-storage bank conflicts and interconnection conflicts in a coarse-grained reconfigurable structure. Background technique [0002] With the development of microelectronics technology, Moore's Law is gradually coming to an end, and it is difficult to further improve the main frequency of microprocessors. Coarse-Grained Reconfigurable Architecture (CGRA) is an architecture that can achieve higher energy efficiency than traditional architectures in the post-Moore's Law era. Coarse-grained reconfigurable architectures are often applied to accelerate computationally intensive applications. In modern real-life applications, application execution time is mostly consumed at a small number of loop cores. Therefore, optimization for mapping loop kernels to reconfigurable architectures is of gre...

Claims

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

Application Information

Patent Timeline
no application Login to view more
IPC IPC(8): G06F8/41
CPCG06F8/45Y02D10/00
Inventor 绳伟光陈雨歌蒋剑飞景乃锋王琴毛志刚
Owner SHANGHAI JIAO TONG UNIV
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products