A compilation method for reducing multi-class memory access conflicts for coarse-grained reconfigurable structures

A memory access and compiler technology, applied in the field of coarse-grained reconfigurable structure compilers, can solve problems such as performance degradation, failure to consider interconnection conflicts, and performance impact of memory access conflicts, etc., to achieve high application speed-up ratio and flow The effect of simple structure and reduced time cost

Active Publication Date: 2022-06-07
SHANGHAI JIAOTONG UNIV
View PDF13 Cites 0 Cited by
  • Summary
  • Abstract
  • Description
  • Claims
  • Application Information

AI Technical Summary

Problems solved by technology

Second, the delay caused by memory access conflicts between memory access operations in the same control cycle (Control Step, CS) accounts for 68.4% of the total running time
[0008] However, there are three problems in the above research: First, the above research is all about how to reduce multi-bank conflicts, but they all ignore the performance loss caused by interconnection conflicts. The kernel will greatly reduce the performance; second, the above-mentioned strategies for solving multi-bank conflicts can only solve the situation that the distance between the two memory access operations is constant during the entire operation process, and it is not suitable for the relationship between memory access operations. The situation changes with the progress of the loop iteration; the third is that the above research uses a complex linear transformation data placement strategy to solve multi-bank conflicts, which brings about an increase in the complexity of data storage in the on-chip memory, which will cause the main memory to use the direct memory. The time cost of accessing (Direct Memory Access, DMA) to transfer data to the on-chip memory is increased, which in turn leads to a reduction in the application acceleration ratio of the reconfigurable processor
However, most of the above studies do not consider the impact of memory access conflicts on performance, and a few scheduling schemes that consider memory access conflicts only consider multi-bank conflicts, but do not consider interconnection conflicts.

Method used

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
View more

Image

Smart Image Click on the blue labels to locate them in the text.
Viewing Examples
Smart Image
  • A compilation method for reducing multi-class memory access conflicts for coarse-grained reconfigurable structures
  • A compilation method for reducing multi-class memory access conflicts for coarse-grained reconfigurable structures
  • A compilation method for reducing multi-class memory access conflicts for coarse-grained reconfigurable structures

Examples

Experimental program
Comparison scheme
Effect test

Embodiment Construction

[0071] The preferred embodiments of the present application will be described below with reference to the accompanying drawings, so as to make its technical content clearer and easier to understand. The present application can be embodied in many different forms of embodiments, and the protection scope of the present application is not limited to the embodiments mentioned herein.

[0072] The concept, specific structure and technical effects of the present invention will be further described below to fully understand the purpose, features and effects of the present invention, but the protection of the present invention is not limited to this.

[0073] The hardware structure on which the invention is based

[0074] The invention aims to optimize the scheduling and mapping process of the reconfigurable processor compiler, comprehensively considers the multi-memory bank conflict and the interconnection conflict between the memory access operators, and proposes a method to reduce ...

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

PUM

No PUM Login to view more

Abstract

A compilation method for reducing multi-type memory access conflicts for coarse-grained reconfigurable structures. When the height of the rear end of the compiler is high, the memory access pressure allocation method is used for processing, and the startup time of different sub-DFGs is adjusted. The scheduling results will be passed through the array The start address offset allocation method is used to adjust the start addresses of different arrays accessed by all memory access operations within a period of time, and also includes the processing of conflict-aware mapping methods, which map different operations to PEs according to the conflict matrix and current mapping conditions Above, the reordering and backtracking algorithms ensure the correctness of the mapping process. The beneficial effects of the present invention are as follows: effectively reduce multi-storage bank conflicts between multiple groups, greatly reduce the time cost of transferring data from the main memory to the on-chip memory by using DMA; avoid memory access conflicts, and bring higher application acceleration ratios on CGRA; The back-end process of the compiler has a simple structure, low algorithm complexity, and fast compilation speed.

Description

technical field [0001] The present invention relates to the field of coarse-grained reconfigurable structure compilers, in particular to a data partition and operator mapping method for reducing multi-memory bank conflicts and interconnection conflicts in coarse-grained reconfigurable structures. Background technique [0002] With the development of microelectronics technology, Moore's Law is gradually coming to an end, and it is difficult to further improve the frequency of microprocessors. Coarse-Grained Reconfigurable Architecture (CGRA) is an architecture that can achieve higher energy efficiency than traditional architectures in the post-Moore's Law era. Coarse-grained reconfigurable architectures are often used to accelerate computationally intensive applications. In modern real life applications, application execution time is mostly consumed at a small number of loop cores. Therefore, optimizing the mapping of loop kernels to reconfigurable architectures is of great...

Claims

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

Application Information

Patent Timeline
no application Login to view more
Patent Type & Authority Patents(China)
IPC IPC(8): G06F8/41
CPCG06F8/45Y02D10/00
Inventor 绳伟光陈雨歌蒋剑飞景乃锋王琴毛志刚
Owner SHANGHAI JIAOTONG UNIV
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products