Circuit time sequence optimization method based on register flexible time sequence library

An optimization method and register technology, applied in the direction of instruments, electrical digital data processing, special data processing applications, etc., to achieve the effect of improving circuit performance

Active Publication Date: 2021-11-19
SOUTHEAST UNIV +1
View PDF4 Cites 3 Cited by
  • Summary
  • Abstract
  • Description
  • Claims
  • Application Information

AI Technical Summary

Problems solved by technology

If the minimum clock cycle (corresponding to the highest operating frequency) does not meet the design requirements, the circuit needs to be optimized, paying additional design iteration time and circuit area overhead

Method used

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
View more

Image

Smart Image Click on the blue labels to locate them in the text.
Viewing Examples
Smart Image
  • Circuit time sequence optimization method based on register flexible time sequence library
  • Circuit time sequence optimization method based on register flexible time sequence library
  • Circuit time sequence optimization method based on register flexible time sequence library

Examples

Experimental program
Comparison scheme
Effect test

Embodiment Construction

[0024] The present invention will be further explained below in conjunction with the accompanying drawings.

[0025] A circuit timing optimization method based on register flexible timing library, wherein, the register setup time T setup , holding time T hold and the propagation delay T cq Respectively refer to the specific input signal transition time S in the traditional timing library of the register data , clock signal conversion time S ck and register load capacitance C L Combining the minimum time for input data to remain stable before the clock signal jumps, the minimum time for input data to remain stable after the clock signal jumps, and the time interval from clock signal jumps to output data.

[0026] Register establishment slack stay loose and the actual propagation delay respectively refer to the transition time of the input signal at a particular S data , clock signal conversion time S ck and register load capacitance C L The time the input data is a...

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

PUM

No PUM Login to view more

Abstract

The invention discloses a circuit time sequence optimization method based on a register flexible time sequence library, which comprises the following steps of: firstly, simulating a register under the conditions of multiple groups of input signal conversion time, clock signal conversion time and register load capacitance respectively, and obtaining corresponding actual propagation delay at the moment by changing establishment relaxation and keeping relaxation of the register; obtaining specific input signal conversion time, clock signal conversion time and register load capacitance through linear interpolation, and establishing and keeping actual propagation delay of the register under relaxation, so that a flexible time sequence library of the register is established; then, performing static time sequence analysis on all register paths in the circuit by utilizing the library, and finding a minimum clock period meeting the condition that the establishment time margin and the retention time margin are both greater than zero by changing the establishment relaxation and the retention relaxation of the register; therefore, the circuit performance is improved under the condition that the circuit design is not changed and the circuit area overhead is not increased.

Description

technical field [0001] The invention relates to a digital integrated circuit timing optimization method, which belongs to the technical field of EDA. Background technique [0002] Static timing analysis is an important step in verifying whether the circuit timing constraints are satisfied in digital integrated circuit circuits. When using the traditional register timing library for static timing analysis, the propagation delay of the register is considered to be related to the setup time (the minimum time for the input data to remain stable before the clock signal jumps) and the hold time (the minimum time for the input data to remain stable after the clock signal jumps). The shortest time to maintain stability) is irrelevant, and the three are uniquely determined by the input signal conversion time of the register, the clock signal conversion time and the register load capacitance. In practice, however, for a given input signal transition time, clock signal transition time...

Claims

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

Application Information

Patent Timeline
no application Login to view more
Patent Type & Authority Applications(China)
IPC IPC(8): G06F30/337G06F30/3315G06F119/12
CPCG06F30/337G06F30/3315G06F2119/12G06F30/3312G06F30/327
Inventor 曹鹏王家豪姜海洋
Owner SOUTHEAST UNIV
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products