Silicon carbide structure, device, and method

Inactive Publication Date: 2018-08-30
THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE NAVY
View PDF1 Cites 0 Cited by
  • Summary
  • Abstract
  • Description
  • Claims
  • Application Information

AI Technical Summary

Benefits of technology

[0072]This method includes process steps for the hexagonal single-crystal SiC anchor material structure bonded to a substrate and forming suspended material structures that can be used for microelectromechanical beam, membranes, cantilevers, bridges etc.
[0073]In one embodiment, one or more than one suspended material structures comprise a hexagonal single-crystal SiC material having a first surface and a second surface with each suspended material structure attached (fixed) to one or more than one anchor material structures that are bonded to a substrate with no interface material layer, one optional interface material layer or more than one optional interface material layers at the interface between the hexagonal single-crystal SiC material layer and the substrate. The anchor material structure comprises hexagonal single-crystal hexagonal SiC material. The anchor material structure and the suspended material structure are formed from a single-crystal hexagonal SiC material and the anchor material structure is bonded (attached) to the substrate and the suspended material structure is not attached to the substrate. The substrate may be a hexagonal single-crystal SiC substrate which may be conductive with N-type doping, conductive with P type doping, semi-insulating or insulating. Other types of substrates may include but not be limited to a silicon substrate, a glass substrate, or a ceramic substrate. The substrate may have an etched recess region on the substrate first surface to facilitate the formation of the movable suspended material structures. The substrate may have patterned electrical electrodes on the substrate first surface, within recesses etched into the substrate, and within recesses etched into the substrate and on the substrate first surface. The electrical electrode ohmic contact can be formed on the first surface (topside) of the hexagonal single-crystal material structure anchor material structure.
[0074]FIG. 4 shows hexagonal single-crystal SiC on a hexagonal single-crystal semi-insulating SiC substrate (with recess etched regions(s)) with high thermal conductivity direct wafer bond interface with trenches etched in hexagonal single-crystal SiC suspended material structure to form one or more than one clamped attachments to the anchor material region to form beams

Problems solved by technology

3C-SiC material layers grown on silicon substrate typically have high residual stress, high dislocation density, and high s

Method used

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
View more

Image

Smart Image Click on the blue labels to locate them in the text.
Viewing Examples
Smart Image
  • Silicon carbide structure, device, and method
  • Silicon carbide structure, device, and method
  • Silicon carbide structure, device, and method

Examples

Experimental program
Comparison scheme
Effect test

example method 1

Contact to the First Surface of the Anchor Material Structure

[0048]1. 6H-SiC semi-insulating or insulating first substrate.[0049]2. Thin and polish the 6H-SiC semi-insulating first substrate to a low total thickness variation (TTV) and to a selected thickness.[0050]3. Photolithography step 1: Photodefine region for etching alignment marks in the semi insulating first substrate.[0051]4. RIE etch alignment marks about 0.1-0.3 microns deep into the 6H semi-insulating SiC first substrate and strip etch-mask.[0052]5. Photolithography step 2: Photodefine region for etching a recess in the first surface of the semi-insulating first substrate.[0053]6. RIE etch a recess about three microns deep into the first surface of the 6H semi-insulating first substrate and strip etch-mask.[0054]7. Grow about 40 nm thermal oxide interface material layer on first surface and recess surface of the semi-insulating first substrate.[0055]8. Grow about 40 nm thick thermal oxide on N-type 4H-SiC second substra...

example device embodiment 1

[0073]In one embodiment, one or more than one suspended material structures comprise a hexagonal single-crystal SiC material having a first surface and a second surface with each suspended material structure attached (fixed) to one or more than one anchor material structures that are bonded to a substrate with no interface material layer, one optional interface material layer or more than one optional interface material layers at the interface between the hexagonal single-crystal SiC material layer and the substrate. The anchor material structure comprises hexagonal single-crystal hexagonal SiC material. The anchor material structure and the suspended material structure are formed from a single-crystal hexagonal SiC material and the anchor material structure is bonded (attached) to the substrate and the suspended material structure is not attached to the substrate. The substrate may be a hexagonal single-crystal SiC substrate which may be conductive with N-type doping, conductive wi...

example device embodiment 2

[0119]In another embodiment, this invention provides one or more than one suspended material structure(s) that comprise hexagonal single-crystal SiC material having a first surface and a second surface with each suspended material structure attached (fixed) to one or more than one anchor material structures that are bonded to a first substrate with no interface material layer, one optional interface material layer or more than one optional interface material layers at the interface between the hexagonal single-crystal SiC material layer and the first substrate. The anchor material structure comprise hexagonal single-crystal hexagonal SiC material. The anchor material structure and the suspended material structure may be formed from a single-crystal hexagonal SiC material and the anchor material structure is bonded (attached) to the first substrate and the suspended material structure is not attached to the first substrate. The substrate may be a singlecrystal SiC substrate.

[0120]The...

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

PUM

PropertyMeasurementUnit
Fractionaaaaaaaaaa
Thicknessaaaaaaaaaa
Thicknessaaaaaaaaaa
Login to view more

Abstract

A structure and method of fabricating suspended beam silicon carbide MEMS structure with low capacitance and good thermal expansion match. A suspended material structure is attached to an anchor material structure that is direct wafer bonded to a substrate. The anchor material structure and the suspended material structure are formed from either a hexagonal single-crystal SiC material, and the anchor material structure is bonded to the substrate while the suspended material structure does not have to be attached to the substrate. The substrate may be a semi-insulating or insulating SiC substrate. The substrate may have an etched recess region on the substrate first surface to facilitate the formation of the movable suspended material structures. The substrate may have patterned electrical electrodes on the substrate first surface, within recesses etched into the substrate.

Description

PRIORITY CLAIM[0001]The present application is a non-provisional application claiming the benefit of U.S. Provisional Application No. 62 / 464,814 filed on Feb. 28, 2017 by Francis J. Kub et al., entitled “SILICON CARBICE STRUCTURE, DEVICE, AND METHOD,” the entire contents of which is incorporated herein by reference.BACKGROUND OF THE INVENTIONField of the Invention[0002]The present invention relates to a structure and method of fabricating thick beam silicon carbide MEMS structure with low capacitance and good thermal expansion match.Description of the Prior Art[0003]3C-SiC is often used for microelectromechanical (MEMS) device structures. 3C-SiC material layers grown on silicon substrate typically have high residual stress, high dislocation density, and high surface roughness. The 3C-SiC material layers grown on a silicon substrate can be grown on silicon substrates with an (100) orientation surface or an (111) orientation surface. There is significant thermal expansion mismatch bet...

Claims

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

Application Information

Patent Timeline
no application Login to view more
IPC IPC(8): B81B3/00B81C1/00H01L29/16
CPCB81B3/0021B81C1/00531H01L29/1608B81B2201/0242B81B2201/0235B81B2203/0127B81B2203/0118B81B2203/0109B81B3/0072B81C2201/019
Inventor KUB, FRANCIS J.HOBART, KARL D.IMHOFF, EUGENE A.MYERS-WARD, RACHAEL L.
Owner THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE NAVY
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products