High depth width ratio TSV through hole step-by-step etching and side wall modification method

A modification method and aspect ratio technology, applied in the field of microelectronics, can solve the problems of CMOS process line pollution, difficulty in removing the oxide layer, and breakage of the insulating layer seed layer, so as to reduce the difficulty of the process, solve the problem of large top leakage, increase reliability effect

Active Publication Date: 2014-04-09
NO 771 INST OF NO 9 RES INST CHINA AEROSPACE SCI & TECH
View PDF4 Cites 10 Cited by
  • Summary
  • Abstract
  • Description
  • Claims
  • Application Information

AI Technical Summary

Problems solved by technology

But the disadvantage of this method is: the SF6 used in the traditional Bosch process has isotropic etching characteristics, so the sidewall will be etched laterally during the etching process, thus forming a micro-curved structure
However, there are two main problems in this method: first, due to the existence of the inherent angle between the (111) crystal plane and the (100) crystal plane, the opening of the TSV via hole will increase with the increase of the etching depth, making this type of TSV via hole The occupied area is too large and the economy is poor; the second is that the process uses KOH as the auxiliary liquid to easily introduce K+ pollution, which will cause K+ pollution to the CMOS process line
However, the disadvantages of this method are: first, it takes a long time for a

Method used

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
View more

Image

Smart Image Click on the blue labels to locate them in the text.
Viewing Examples
Smart Image
  • High depth width ratio TSV through hole step-by-step etching and side wall modification method
  • High depth width ratio TSV through hole step-by-step etching and side wall modification method
  • High depth width ratio TSV through hole step-by-step etching and side wall modification method

Examples

Experimental program
Comparison scheme
Effect test

Example Embodiment

[0039] Example 1:

[0040] This embodiment is used for the production of TSV through holes with a diameter of 10 μm and an aspect ratio of 10:1. The hole etching material is P type silicon, and the mask is 1μm thick SiO 2 . The model of the ICP etching machine is Alcatel AMS-100, and the specific steps according to the present invention are as follows (see image 3 ):

[0041] 1. First, deposit a layer of 1μm SiO on the surface of P type single crystal silicon wafer by PE CVD method 2 , and in SiO 2 The surface is coated with photoresist, exposed and developed to expose the silicon dioxide window that needs to be etched (the window pattern is a circular hole, and the hole diameter is 10 μm).

[0042] 2. Then, the silicon dioxide layer is etched at the exposed window by the plasma dry etching method, and the etching has been carried out to the surface of the single crystal silicon wafer.

[0043] 3. Then carry out the optimized multi-step Bosch etching process proposed by...

Example Embodiment

[0048] Example 2:

[0049] This embodiment is used for making TSV through holes with a diameter of 5 μm and an aspect ratio of 10:1. The hole etching material is P type silicon, and the mask is 1.5μm thick SiO 2 . The model of the ICP etching machine is Alcatel AMS-100, and the specific steps according to the present invention are as follows (see image 3 ):

[0050] 1. First, deposit a layer of 1.5μm SiO on the surface of P type single crystal silicon wafer by PE CVD method 2 , and in SiO 2 The surface is coated with photoresist, exposed and developed to reveal the silicon dioxide window that needs to be etched (the window pattern is a circular hole, the hole diameter is 5 μm).

[0051] 2. Then, the silicon dioxide layer is etched at the exposed window by the plasma dry etching method, and the etching has been carried out to the surface of the single crystal silicon wafer.

[0052] 3. Then carry out the optimized multi-step Bosch etching process proposed by the present ...

Example Embodiment

[0057] Example 3:

[0058] This embodiment is used for the production of TSV through holes with a diameter of 30 μm and an aspect ratio of 10:1. The hole etching material is P type silicon, and the mask is 1.5μm thick SiO 2 . The ICP etching machine model is Alcatel AMS-100, and the specific steps of the present invention are implemented as follows:

[0059] 1. First, deposit a layer of 1.5μm SiO on the surface of P type single crystal silicon wafer by PE CVD method 2 , and in SiO 2The surface is coated with photoresist, exposed and developed to reveal the silicon dioxide window that needs to be etched (the window pattern is a circular hole, and the hole diameter is 30 μm).

[0060] 2. Then, the silicon dioxide layer is etched at the exposed window by the plasma dry etching method, and the etching has been carried out to the surface of the single crystal silicon wafer.

[0061] 3. Then carry out the optimized multi-step Bosch etching process proposed by the present invent...

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

PUM

PropertyMeasurementUnit
Hole diameteraaaaaaaaaa
Login to view more

Abstract

The invention discloses a high depth width ratio TSV through hole step-by-step etching and side wall modification method. The method comprises the steps that a layer of SiO2 is deposited on the surface of a P (100) type single crystal silicon wafer by using a PE CVD method; photoresist is coated on the surface of the SiO2; exposing and developing are carried out; a silicon dioxide window needing etching is exposed; a plasma dry etching method is used to carry out the etching of a silicon dioxide layer on the exposed window, and etching is carried out until the surface of the single crystal silicon wafer; and an optimized multiple-step Bosch etching process is carried out. According to the invention, K+ pollution is not introduced; high temperature process processing is avoided; the size of a through hole occupies a small chip area; the economic benefit is high; the method is compatible with an IC technology; the through hole of high density and high depth width ratio can be produced; the 'scallop' size of a side wall of the through hole can be reduced; the roughness of the side wall is improved; the difficulty of a subsequent side wall insulation process is reduced; the breakdown voltage can be enhanced; and the reliability of a TSV three-dimensional integrated device is improved.

Description

technical field [0001] The invention relates to the technical field of microelectronics. Background technique [0002] At present, the commonly used TSV through-hole manufacturing technology mainly adopts the ICP etching technology Bosch process to realize the manufacture of large aspect ratio TSV through-holes. The "U.S. Patent No. 5501893" patent states that the Bosch process uses passivation and etching alternately for deep hole etching. First deposit a layer of polymer with C4F8 for sidewall protection, and then use SF6 to etch away the polymer and silicon at the same time. The passivation and etching steps are alternately cycled at high speed, and anisotropic etching effect is finally achieved. But the disadvantage of this method is: the SF6 used in the traditional Bosch process has isotropic etching characteristics, so the sidewall will be etched laterally during the etching process, thereby forming a micro-curved structure. After several subsequent cycles of passiv...

Claims

the structure of the environmentally friendly knitted fabric provided by the present invention; figure 2 Flow chart of the yarn wrapping machine for environmentally friendly knitted fabrics and storage devices; image 3 Is the parameter map of the yarn covering machine
Login to view more

Application Information

Patent Timeline
no application Login to view more
IPC IPC(8): H01L21/768
CPCH01L21/76808H01L21/76816
Inventor 单光宝刘松孙有民蔚婷婷李翔
Owner NO 771 INST OF NO 9 RES INST CHINA AEROSPACE SCI & TECH
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products