Patents
Literature
Patsnap Copilot is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Patsnap Copilot

146 results about "Reservation station" patented technology

Unified Reservation station, also known as unified scheduler, is a decentralized feature of the microarchitecture of a CPU that allows for register renaming, and is used by the Tomasulo algorithm for dynamic instruction scheduling.

Device and method for instruction scheduling

The invention provides a device and a method for dynamically scheduling instructions transmitted from an operation queue to a reservation station in a microprocessor. The method comprises the following: a step of writing instructions, which is to set and then write the operand states of the decoded instructions on the basis of data correlation between the decoded instructions to be written into the operation queue and effective instructions in the operation queue, as well as instruction execution results which have been written back and are being written; a step of updating the operand states, which is to update the operand state of each instruction not transmitted on the basis of the data correlation between each instruction not transmitted and the instructions being written back of instruction execution results; a step of judging to-be-transmitted instructions, which is to judge whether the to-be-transmitted instructions with all operands ready exist on the basis of the operand state of each instruction not transmitted; and a step of transmitting instructions, which is to transmit the judged to-be-transmitted instructions to the reservation station when the reservation station has vacancies. Pipeline efficiency can be effectively improved by transmitting the instructions with the operands ready to the reservation station on the basis of the data correlation between the instructions.
Owner:LOONGSON TECH CORP

Intelligent place resource managing method and device

The invention discloses an intelligent place resource managing method and device. The method comprises receiving place resource reserving or reservation canceling operation orders submitted by a user, updating place resource reservation states according to the place resource reserving or reservation canceling operation orders, receiving sign-in or sign-off operation orders submitted by the user in a place resource site, and updating place resource using states according to the sign-in or sign-off operation orders. The device comprises a reservation order receiving module, a reservation station updating module, a using order receiving module and a using state updating module, wherein the reservation order receiving module is used for receiving the place resource reserving or reservation canceling operation orders, the reservation station updating module is used for updating the place resource reservation states according to the place resource reserving or reservation canceling operation orders, the using order receiving module is used for receiving the sign-in or sign-off operation orders submitted by the user in the place resource site, and the using state updating module is used for updating the place resource using states according to the sign-in or sign-off operation orders. The intelligent place resource managing method and device can accurately obtain reservation and using situations of place resources in real time.
Owner:北京诺亚星云科技有限责任公司

Superscale pipeline reservation station processing instruction method and device

The invention is suitable for the technical field of micro-processors and provides a superscale pipeline reservation station processing instruction method and device. The superscale pipeline reservation station processing instruction method comprises the steps of determining a judgment rule of a correlation instruction and a non-correlation instruction; obtaining each instruction written in an operation queue in multiple pipelines; judging the correlation among the instructions according to the judgment rule, and recognizing the instructions to be the correlation instructions or the non-correlation instructions; caching the correlation instructions into a first type reservation station according to the instruction written-in sequence in the operation queen, and caching the non-correlation instructions into a second reservation station, wherein the correlation instructions refers to the instructions that a calling sequence exists in calculation results or operation parameters in the operation queue, the non-correlation instructions are the instructions except for the correlation instructions in the operation queue, and the first reservation station and the second reservation station are different reservation stations. The superscale pipeline reservation station processing instruction method and device improve the performance of pipeline performing section parallelism processing.
Owner:SHENZHEN STATE MICROELECTRONICS CO LTD
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products