Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

21247 results about "Gas supply" patented technology

Gas separation type showerhead

Provided is a gas separation type showerhead for effective energy supply. The gas separation type showerhead includes: a gas supply module to which a first gas and a second gas are separately supplied; a gas separation module in which the supplied first and second gases are separately dispersed; and a gas injection module which is a multi-hollow cathode having a plurality of holes and in which the first and second gases separately dispersed are ionized in the holes to be commonly dispersed.
Owner:ATO

Film deposition apparatus and film deposition method

The present invention is a film deposition apparatus configured to deposit a film on a substrate that has been loaded into a vacuum container via a transfer opening and placed on a table in the vacuum container, by supplying a process gas to the substrate from a process-gas supply part opposed to the table under a vacuum atmosphere, while heating a table surface of the table, the film deposition apparatus comprising: an elevating mechanism configured to vertically move the table between a process position at which the substrate is subjected to a film deposition process, and a transfer position at which the substrate is transferred to and from an external transfer mechanism that has entered from the transfer opening; a surrounding part configured to surround the table with a gap therebetween, when the table is located at the process position, so that the surrounding part and the table divide an inside of the vacuum container into an upper space, which is located above the table, and a lower space, which is located below the table; a vacuum exhaust conduit in communication with the upper space, through which a process atmosphere in the upper space is discharged to create a vacuum in the upper space; a heating unit configured to heat a gas contact region ranging from the upper space to the vacuum exhaust conduit, to a temperature higher than a temperature allowing adhesion of reactant; and a heat insulation part disposed between the heating unit and a lower part of the vacuum container surrounding the lower space.
Owner:TOKYO ELECTRON LTD

Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms

A thin-film formation apparatus possesses a reaction chamber to be evacuated, a placing portion on which a substrate is placed inside the reaction chamber, a gas-dispersion guide installed over the placing portion for supplying a gas onto a substrate surface, a gas-supply port for introducing the gas into the gas-dispersion guide, a gas-dispersion plate disposed on the side of the substrate of the gas-dispersion guide and having multiple gas-discharge pores, a first exhaust port for exhausting, downstream of the gas-dispersion plate, the gas supplied onto the substrate surface from the gas-dispersion plate, and a second exhaust port for exhausting, upstream of the gas-dispersion plate, a gas inside the gas-dispersion guide via a space between the gas-dispersion guide and the gas-dispersion plate.
Owner:ASM JAPAN

Apparatus to manufacture semiconductor

An apparatus to manufacture a semiconductor, in which distribution of process gases supplied to a reaction region in a reaction chamber is uniform, includes a gas supply nozzle to supply process gases to a semiconductor substrate in the reaction chamber, wherein the gas supply nozzle includes a first supply channel formed in a longitudinal direction, and first outlet channels formed at an outlet of the first supply channel such that the first outlet channels are inclined with respect to the direction of the first supply channel at a designated angle to diffuse the process gas supplied through the first supply channel.
Owner:SAMSUNG ELECTRONICS CO LTD

Ceramic heater system and substrate processing apparatus having the same installed therein

A ceramic heater system has a ceramic heater base having a substrate-mounting surface formed on the top surface thereof and a heater, buried in the heater base, for heating a substrate. A fluid passage is formed buried in the heater base below where the heater is buried. The heater base is cooled as a fluid whose temperature is lower than the temperature of the heater base is let flow in the fluid passage. A substrate processing apparatus has the ceramic heater system installed in a process chamber whose vacuum state can be maintained, a gas supply mechanism for feeding a gas into the process chamber, and a power supply. The substrate processing apparatus performs a heat treatment, etching and film deposition on a substrate placed in the process chamber.
Owner:TOKYO ELECTRON LTD

Gas Supply Manifold And Method Of Supplying Gases To Chamber Using Same

A gas inlet system for a wafer processing reactor includes a tubular gas manifold conduit adapted to be connected to a gas inlet port of the wafer processing reactor; and gas feeds including a first feed for feeding a first gas into the tubular gas manifold conduit and a second feed for feeding a second gas into the tubular gas manifold conduit. Each feed has two or more injection ports connected to the tubular gas manifold conduit at a first axial position of the tubular gas manifold conduit, and the injection ports of each of the gas feeds are evenly distributed along a circumference of the tubular gas manifold conduit at the first axial position.
Owner:ASM IP HLDG BV

Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone

A plasma reactor for processing a workpiece such as a semiconductor wafer has a housing defining a process chamber, a workpiece support configured to support a workpiece within the chamber during processing and comprising a plasma bias power electrode. The reactor further includes plural gas sources containing different gas species, plural process gas inlets and an array of valves capable of coupling any of said plural gas sources to any of said plural process gas inlets. The reactor also includes a controller governing said array of valves and is programmed to change the flow rates of gases through said inlets over time. A ceiling plasma source power electrode of the reactor has plural gas injection zones coupled to the respective process gas inlets. In a preferred embodiment, the plural gas sources comprise supplies containing, respectively, fluorocarbon or fluorohydrocarbon species with respectively different ratios of carbon and fluorine chemistries. They further include an oxygen or nitrogen supply and a diluent gas supply. The controller is programmed to produce flow of different process gas species or mixtures thereof through different ones of said plural gas injection zones. The controller is further programmed to change over time the species content of the gases flowing through different ones of said plural gas injection zones.
Owner:APPLIED MATERIALS INC

Gas distribution blocker apparatus

Embodiments of the present invention generally provide apparatus and methods for altering the flow and pressure differential of process gases supplied across a showerhead of a processing chamber to provide improved deposition uniformity across the surface of a substrate disposed therein. In one embodiment, a blocker plate is disposed between a backing plate and a showerhead. In one embodiment, the distance between the blocker plate and the showerhead is adjustable. In another embodiment, the blocker plate has a non-planar surface contour. In another embodiment, a regional blocker plate is disposed between a backing plate and a showerhead. In another embodiment, a central blocker plate and a peripheral blocker plate are disposed between a backing plate and a showerhead.
Owner:APPLIED MATERIALS INC

Bubbler assembly and method for vapor flow control

Disclosed is a bubbler assembly. The bubbler assembly includes a vessel configured to contain a liquid source material and its vapor. It also includes a carrier gas supply line, a downstream end of which discharges in a lower portion of the vessel, and a gas outlet line, an upstream end of which is in fluid communication with an upper portion of the vessel. The gas outlet line includes a constriction. The bubbler assembly further includes a pressurizing gas supply line, a downstream end of which discharges in either the upper portion of the vessel or in the gas outlet line at a point upstream of the constriction.
Owner:ASM INTERNATIONAL

Chemical solution vaporizing tank and chemical solution treating system

ActiveUS20090020072A1Concentration differenceVariations in concentration of the chemical solutions can be suppressedLiquid surface applicatorsSemiconductor/solid-state device manufacturingChemical solutionProduct gas
An object is to suppress differences in concentration between processing gases supplied to a plurality of works in a chemical solution vaporizing tank. The chemical solution vaporizing tank includes a tank body having a plurality of vaporizing chambers formed by laterally and airtightly partitioning an internal space of the tank body, a chemical solution passage located under a liquid level in each vaporizing chamber and formed at each partition member for passing the chemical solution between the vaporizing chambers, and a gas passage located above the liquid level in each vaporizing chamber and formed at the partition member to communicate the vaporizing chambers with each other for uniformizing pressures in the respective vaporizing chambers. A quantity of the channel layer in each vaporizing chamber is controlled by managing, e.g., the liquid level.
Owner:TOKYO ELECTRON LTD

Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma

Apparatus and method for atomic layer deposition on a surface of a substrate (6) in a treatment space. A gas supply device (15, 16) is present for providing various gas mixtures to the treatment space (1, 2). The gas supply device (15, 16) is arranged to provide a gas mixture with a precursor material to the treatment space for allowing reactive surface sites to react with precursor material molecules to give a surface covered by a monolayer of precursor molecules attached via the reactive sites to the surface of the substrate. Subsequently, a gas mixture comprising a reactive agent capable to convert the attached precursor molecules to active precursor sites is provided. A plasma generator (10) is present for generating an atmospheric pressure plasma in the gas mixture comprising the reactive agent, the plasma generator being arranged remote from the treatment space (1, 2).
Owner:FUJIFILM MFG EURO

Method and apparatus for treating article to be treated

A thermal treatment apparatus 1 includes a reaction tube 2 for containing wafers 10 contaminated with organic substances having a heater 12 capable of heating the reaction tube; a first gas supply pipe 13 for carrying oxygen gas into the reaction tube 2; and a second gas supply pipe 14 for carrying hydrogen gas into the reaction tube 2. Oxygen gas and hydrogen gas are supplied through the first gas supply pipe 13 and the second gas supply pipe 14, respectively, into the reaction tube 2, and the heater 12 heats the reaction tube 2 at a temperature capable of activating oxygen gas and hydrogen gas. A combustion reaction occurs in the reaction tube 2 and thereby the organic substances adhering to the wafers 10 are oxidized, decomposed and removed.
Owner:TOKYO ELECTRON LTD

Method for forming thin film

Method for forming a thin film at low temperature by using plasma pulses is disclosed. While a purge gas or a reactant purge gas activated by plasma is continuously supplied into a reactor, a source gas is supplied intermittently into the reactor during which period plasma is generated in the reactor so that the source gas and the purge gas activated by plasma reacts, so that a thin film is formed according to the method. Also, a method for forming a thin layer of film containing a plural of metallic elements, a method for forming a thin metallic film containing varied contents by amount of the metallic elements by using a supercycle Tsupercycle comprising a combination of simple gas supply cycles Tcycle, . . . , and a method for forming a thin film containing continuously varying compositions of the constituent elements by using a supercycle Tsupercycle comprising a combination of simple gas supply cycles Tcycle, . . . , are disclosed. The methods for forming thin films disclosed here allows to shorten the purge cycle duration even if the reactivity between the source gases is high, to reduce the contaminants caused by the gas remaining in the reactor, to form a thin film at low temperature even if the reactivity between the source gases is low, and also to increase the rate of thin film formation.
Owner:ASM GENITECH KOREA

Atomic layer etching apparatus and etching method using the same

An atomic layer etching apparatus using reactive radicals and neutral beams and an etching method using the same are provided. The atomic layer etching apparatus includes a reaction chamber including a stage on which a substrate to be etched is seated, a plasma generator including a plasma chamber configured to supply reactive radicals and neutral beams into the reaction chamber and receive a source gas to generate plasma, an inductive coil configured to surround the exterior of the plasma chamber to generate an electric field, a grid assembly disposed at a lower part of the plasma chamber and including first, second and third grids for extracting ion beams, and a reflective body disposed under the grid assembly and configured to supply electrons to the ion beams to convert the ion beams into neutral beams, a shutter installed between the plasma generator and the reactive chamber and configured to adjust supply of the neutral beams into the reaction chamber, a purge gas supply part configured to supply a purge gas into the reaction chamber, and a controller configured to control supply of the source gas, an etching gas and the purge gas, and opening / closing of the shutter.
Owner:RES & BUSINESS FOUND SUNGKYUNKWAN UNIV

Film deposition apparatus

A film deposition apparatus including a rotational member is rotated by a rotation mechanism around a vertical axis inside a chamber, a pedestal in the chamber and including substrate receiving areas formed along a circle having the vertical axis as a center, and first and second reaction gas supplying parts provided separately along a circumferential direction of the circle and supplying first and second reaction gases to the pedestal, a separating area in the rotational member and between first and second process areas to which first and second reaction gases are supplied, an evacuation port to evacuate an atmosphere inside the chamber, a separation gas supplying part in the separating area for supplying a separation gas, and an opposing surface part in the separating area on both sides of the separation gas supplying part and at a position forming a thin space between the opposing surface part and the pedestal.
Owner:TOKYO ELECTRON LTD

Plasma processing apparatus

In a plasma processing apparatus for processing a substrate by plasmatizing a process gas introduced into a processing container, an introducing unit which introduces the process gas is formed on a ceiling surface of the processing container; a gas retention portion which gathers the process gas supplied from the outside of the processing container through a supply passage, and a plurality of gas ejection holes which allow communication between the gas retention portion and the inside of the processing container are formed in the introducing unit; a gas ejection hole is not formed in a location of the gas retention portion that faces an opening of the supply passage; and a cross section of each of the gas ejection holes has a flat shape.
Owner:TOKYO ELECTRON LTD

Plasma processing apparatus, plasma processing method, and tray

A tray 15 for a dry etching apparatus 1 has substrate accommodation holes 19A to 19D penetrating thickness direction and a substrate support portion 21 supporting an outer peripheral edge portion of a lower surface 2a of a substrate 2. A dielectric plate 23 has a tray support surface 28 supporting a lower surface of the tray 15, substrate placement portions 29A through 29D inserted from a lower surface side of the tray 15 into the substrate accommodation holes 19A through 19D and having a substrate placement surface 31 at its upper end surface for placing the substrate 2. A dc voltage applying mechanism 43 applies a dc voltage to an electrostatic attraction electrode 40. A heat conduction gas supply mechanism 45 supplies a heat conduction gas between the substrate 2 and substrate placement surface 31. The substrate 2 can be retained on the substrate placement surface 31 with high degree of adhesion. This results in that the cooling efficiency of the substrate 2 is improved and processing is uniformed at the entire region of the substrate surface including the vicinity of the outer peripheral edge.
Owner:PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO LTD

Film deposition device and substrate processing device

A film deposition device includes a substrate transporting device arranged in a vacuum chamber to include a circulatory transport path in which substrate mounting parts arranged in a row are transported in a circulatory manner, the circulatory transport path including a linear transport path in which the substrate mounting parts are transported linearly. A first reactive gas supplying part is arranged along a transporting direction in which the substrate mounting parts are transported in the linear transport path, to supply a first reactive gas to the substrate mounting parts. A second reactive gas supplying part is arranged alternately with the first reactive gas supplying part along the transporting direction, to supply a second reactive gas to the substrate mounting parts. A separation gas supplying part is arranged to supply a separation gas to a space between the first reactive gas supplying part and the second reactive gas supplying part.
Owner:TOKYO ELECTRON LTD

Plasma processing system

A processing system having a processing chamber that includes a substrate holder and an electrode. The processing system can include a pressure control system, gas supply system, and monitoring system. A multi-frequency RF source is coupled to the electrode using a reduced-element matching network having a single variable element. The multi-frequency RF source is set to a first frequency to ignite a plasma and to a second frequency to maintain the plasma.
Owner:TOKYO ELECTRON LTD +1

High density plasma chemical vapor deposition apparatus

A high density plasma chemical vapor deposition apparatus includes an upper gas supply nozzle which includes a nozzle body, a gas supply passage formed vertically in the nozzle body, a nozzle cover attached to a lower surface of the horizontal portion of the nozzle body, and a plurality of gas inlets formed through the nozzle cover to uniformly supply the processing gas towards a semiconductor wafer within the processing chamber.
Owner:SAMSUNG ELECTRONICS CO LTD

Method and apparatus for substrate temperature control

A method and apparatus for gas control is provided. The apparatus may be used for controlling gases delivered to a chamber, controlling the chamber pressure, controlling the delivery of backside gas between a substrate and substrate support and the like. In one embodiment, an apparatus for controlling gas control includes at least a first flow sensor having a control valve, a first pressure sensor and at least a second pressure sensor. An inlet of the first flow sensor is adapted for coupling to a gas supply. A control valve is coupled to an outlet of the flow sensor. The first pressure sensor is adapted to sense a metric indicative of the pressure upstream of the first flow sensor. The second pressure sensor is adapted to sense a metric indicative of the pressure downstream of the control valve.
Owner:APPLIED MATERIALS INC

Film deposition apparatus and substrate processing apparatus

A film deposition apparatus includes: a turntable; a first reaction gas supply part and a second reaction gas supply part extending from a circumferential edge toward a rotation center of the turntable; and a first separation gas supply part provided between the first and second reaction gas supply parts. A first space contains the first reaction gas supply part and has a first height. A second space contains the second reaction gas supply part and has a second height. A third space contains a first separation gas supply part and has a height lower than the first and second heights. A motor provided under the rotation center of the turntable rotates the turntable. A rotation shaft of the turntable and a drive shaft of the motor are coupled without generation of slip.
Owner:TOKYO ELECTRON LTD

Substrate Processing Apparatus

Process gas discharged from a bypass pipe to a gas exhaust system can be prevented from diffusing back to the inside of a process chamber without having to install a dedicated vacuum pump at the downstream side of the bypass pipe. The substrate processing apparatus includes a process chamber accommodating a substrate, a gas supply system supplying process gas from a process gas source to the process chamber for processing the substrate, a gas exhaust system configured to exhaust the process chamber, two or more vacuum pumps installed in series at the gas exhaust system, and a bypass pipe connected between the gas supply system and the gas exhaust system. The most upstream one of the vacuum pumps is a mechanical booster pump, and the bypass pipe is connected between the mechanical booster pump and the rest vacuum pumps located at a downstream side of the mechanical booster pump.
Owner:KOKUSA ELECTRIC CO LTD

Processing device and processing method

The ceiling surface (12b) of a chamber (12) is substantially entirely formed with a gas supply port (19). Further, the gas supply port (19) has shower head (20) fitted therein. The peripheral edge of the ceiling surface (12b) has connected thereto a second side wall (12d) forming an angle greater than 90 degrees with ceiling surface (12b). Further, the side surface of a susceptor (16) is formed such that it forms an angle greater than 90 degrees with a mounting surface for a wafer (W) and is substantially parallel with the second side wall (12d) of the chamber (12). Further, the susceptor (16) is disposed such that the distance (L2) between its side surface and the second side wall (12d) is greater than the distance (L1) between the shower head (20) and the wafer (W).
Owner:TOKYO ELECTRON LTD

Substrate processing apparatus, method of manufacturing semiconductor device and semiconductor device

Provided is a substrate processing apparatus capable of suppressing accumulation of reaction products or decomposed matters on an inner wall of a nozzle and suppressing scattering of foreign substances in a process chamber. The substrate processing apparatus includes a process chamber, a heating unit, a source gas supply unit, a source gas nozzle, an exhaust unit, and a control unit configured to control at least the heating unit, the source gas supply unit and the exhaust unit. The source gas nozzle is disposed at a region in the process chamber, in which a first process gas is not decomposed even under a temperature in the process chamber higher than a pyrolysis temperature of the first process gas, and the control unit supplies the first process gas into the process chamber two or more times at different flow velocities to prevent the first process gas from being mixed.
Owner:KOKUSA ELECTRIC CO LTD

Substrate processing apparatus and susceptor

A substrate processing apparatus includes a chamber, a susceptor to receive a substrate and provided in the chamber, a gas supply source to supply a predetermined gas into the chamber, and a high frequency power source to treat the substrate by plasma. The susceptor includes a first ceramics base member including a flow passage to let a coolant pass through, a first conductive layer formed on a principal surface and a side surface on a substrate receiving side of the first ceramics base member, and an electrostatic chuck stacked on the first conductive layer and configured to electrostatically attract the wafer received thereon. A volume of the flow passage is equal to or more than a volume of the first ceramics base member. The high frequency power source is configured to supply high frequency power to the first conductive layer.
Owner:TOKYO ELECTRON LTD
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Eureka Blog
Learn More
PatSnap group products