Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

57783 results about "Metallurgy" patented technology

Metallurgy is a domain of materials science and engineering that studies the physical and chemical behavior of metallic elements, their inter-metallic compounds, and their mixtures, which are called alloys. Metallurgy is used to separate metals from their ore. Metallurgy is also the technology of metals: the way in which science is applied to the production of metals, and the engineering of metal components for usage in products for consumers and manufacturers. The production of metals involves the processing of ores to extract the metal they contain, and the mixture of metals, sometimes with other elements, to produce alloys. Metallurgy is distinguished from the craft of metalworking, although metalworking relies on metallurgy, as medicine relies on medical science, for technical advancement. The science of metallurgy is subdivided into chemical metallurgy and physical metallurgy.

Liquid precursors for the CVD deposition of amorphous carbon films

Methods are provided for depositing amorphous carbon materials. In one aspect, the invention provides a method for processing a substrate including positioning the substrate in a processing chamber, introducing a processing gas into the processing chamber, wherein the processing gas comprises a carrier gas, hydrogen, and one or more precursor compounds, generating a plasma of the processing gas by applying power from a dual-frequency RF source, and depositing an amorphous carbon layer on the substrate.
Owner:APPLIED MATERIALS INC

Apparatus and method for forming polycrystalline silicon thin film

Apparatus and method for forming a polycrystalline silicon thin film by converting an amorphous silicon thin film into the polycrystalline silicon thin film using a metal are provided. The method includes: a metal nucleus adsorbing step of introducing a vapor phase metal compound into a process space where the glass substrate having the amorphous silicon formed thereon is disposed, to adsorb a metal nucleus contained in the metal compound into the amorphous silicon layer; a metal nucleus distribution region-forming step of forming a community region including a plurality of silicon particles every metal nucleus in a plane boundary region occupied by the metal compound by a self-limited mechanism due to the adsorption of the metal nucleus; and an excess gas removing step of purging and removing an excess gas which is not adsorbed in the metal nucleus distribution region-forming step.
Owner:WONIK IPS CO LTD

Device isolation technology on semiconductor substrate

A method of forming device isolation regions on a trench-formed silicon substrate and removing residual carbon therefrom includes providing a flowable, insulative material constituted by silicon, carbon, nitrogen, hydrogen, oxygen or any combination of two or more thereof; forming a thin insulative layer, by using the flowable, insulative material, in a trench located on a semiconductor substrate wherein the flowable, insulative material forms a conformal coating in a silicon and nitrogen rich condition whereas in a carbon rich condition, the flowable, insulative material vertically grows from the bottom of the trenches; and removing the residual carbon deposits from the flowable, insulative material by multi-step curing, such as O2 thermal annealing, ozone UV curing followed by N2 thermal annealing.
Owner:ASM JAPAN

Methods of forming films including germanium tin and structures and devices including the films

Methods of forming germanium-tin films using germane as a precursor are disclosed. Exemplary methods include growing films including germanium and tin in an epitaxial chemical vapor deposition reactor, wherein a ratio of a tin precursor to germane is less than 0.1. Also disclosed are structures and devices including germanium-tin films formed using the methods described herein.
Owner:ASM IP HLDG BV

Film-forming method and film-forming apparatus for forming silicon oxide film on tungsten film or tungsten oxide film

A film-forming method includes forming a tungsten film or a tungsten oxide film on an object to be processed, forming a seed layer on the tungsten film or the tungsten oxide film, and forming a silicon oxide film on the seed layer, wherein the seed layer formed on the tungsten film or the tungsten oxide film is formed by heating the object to be processed and supplying an aminosilane-based gas to a surface of the tungsten film or the tungsten oxide film.
Owner:TOKYO ELECTRON LTD

Ceramic heater system and substrate processing apparatus having the same installed therein

A ceramic heater system has a ceramic heater base having a substrate-mounting surface formed on the top surface thereof and a heater, buried in the heater base, for heating a substrate. A fluid passage is formed buried in the heater base below where the heater is buried. The heater base is cooled as a fluid whose temperature is lower than the temperature of the heater base is let flow in the fluid passage. A substrate processing apparatus has the ceramic heater system installed in a process chamber whose vacuum state can be maintained, a gas supply mechanism for feeding a gas into the process chamber, and a power supply. The substrate processing apparatus performs a heat treatment, etching and film deposition on a substrate placed in the process chamber.
Owner:TOKYO ELECTRON LTD

Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure

Stress of a silicon nitride layer may be enhanced by deposition at higher temperatures. Employing an apparatus that allows heating of a substrate to substantially greater than 400° C. (for example a heater made from ceramic rather than aluminum), the silicon nitride film as-deposited may exhibit enhanced stress allowing for improved performance of the underlying MOS transistor device. In accordance with some embodiments, a deposited silicon nitride film is exposed to curing with plasma and ultraviolet (UV) radiation, thereby helping remove hydrogen from the film and increasing film stress. In accordance with other embodiments, a silicon nitride film is formed utilizing an integrated process employing a number of deposition / curing cycles to preserve integrity of the film at the sharp corner of the underlying raised feature. Adhesion between successive layers may be promoted by inclusion of a post-UV cure plasma treatment in each cycle.
Owner:APPLIED MATERIALS INC

Separator for a high energy rechargeable lithium battery

The instant invention is directed to a separator for a high energy rechargeable lithium battery and the corresponding battery. The separator includes a ceramic composite layer and a polymeric microporous layer. The ceramic layers includes a mixture of inorganic particles and a matrix material. The ceramic layer is adapted, at least, to block dendrite growth and to prevent electronic shorting. The polymeric layer is adapted, at least, to block ionic flow between the anode and the cathode in the event of thermal runaway.
Owner:CELGARD LLC

Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES

Methods and precursors for depositing silicon nitride films by atomic layer deposition (ALD) are provided. In some embodiments the silicon precursors comprise an iodine ligand. The silicon nitride films may have a relatively uniform etch rate for both vertical and the horizontal portions when deposited onto three-dimensional structures such as FinFETS or other types of multiple gate FETs. In some embodiments, various silicon nitride films of the present disclosure have an etch rate of less than half the thermal oxide removal rate with diluted HF (0.5%).
Owner:ASM IP HLDG BV

Sealing pores of low-k dielectrics using CxHy

A semiconductor method of manufacturing involving porous and / or carbon containing, low-k dielectrics is provided. The method includes forming a hydrocarbon of the general composition CxHy on the surface of the low-k dielectric. The hydrocarbon layer includes depositing a precursor material, preferably C2H4 or (CH3)2CHC6H6CH3. In accordance with embodiments of this invention, carbon diffuses into the low-k dielectric, thereby reducing carbon depletion damage caused by plasma processing or etching. Surface dielectric pores damaged by plasma processing are also repaired by sealing them with the CXHY layer. Embodiments include semiconductor devices, such as devices having damascene interconnect structures, manufacturing using methods provided.
Owner:TAIWAN SEMICON MFG CO LTD

Plasma CVD apparatus having non-metal susceptor

A plasma CVD apparatus includes: a cooling susceptor for placing a substrate thereon and serving as an electrode; and a shower plate for introducing gas toward the susceptor via multiple throughholes formed therein. The shower plate serves as an electrode and is disposed in parallel to the susceptor. The cooling susceptor is made of a ceramic material provided with a cooling fluid flow path for passing a cooling fluid therethrough.
Owner:ASM JAPAN

Diamond coatings on reactor wall and method of manufacturing thereof

A corrosion resistant component of semiconductor processing equipment such as a plasma chamber includes a diamond containing surface and process for manufacture thereof.
Owner:LAM RES CORP

Method of semiconductor film stabilization

Embodiments of the invention generally relate to methods for forming silicon-germanium-tin alloy epitaxial layers, germanium-tin alloy epitaxial layers, and germanium epitaxial layers that may be doped with boron, phosphorus, arsenic, or other n-type or p-type dopants. The methods generally include positioning a substrate in a processing chamber. A germanium precursor gas is then introduced into the chamber concurrently with a stressor precursor gas, such as a tin precursor gas, to form an epitaxial layer. The flow of the germanium gas is then halted, and an etchant gas is introduced into the chamber. An etch back is then performed while in the presence of the stressor precursor gas used in the formation of the epitaxial film. The flow of the etchant gas is then stopped, and the cycle may then be repeated. In addition to or as an alternative to the etch back process, an annealing processing may be performed.
Owner:APPLIED MATERIALS INC

Hybrid ceramic showerhead

Various implementations of hybrid ceramic faceplates for substrate processing showerheads are provided. The hybrid ceramic showerhead faceplates may include an electrode embedded within the ceramic material of the faceplate, as well as a pattern of through-holes. The electrode may be fully encapsulated within the ceramic material with respect to the through-holes. In some implementations, a heater element may also be embedded within the hybrid ceramic showerhead faceplate. A DC voltage source may be electrically connected with the hybrid ceramic showerhead faceplate during use. The hybrid ceramic faceplates may be easily removable from the substrate processing showerheads for easy cleaning and faceplate replacement.
Owner:NOVELLUS SYSTEMS

Method of selective coverage of high aspect ratio structures with a conformal film

Methods for forming thin dielectric films by selectively depositing a conformal film of dielectric material on a high aspect ratio structure have uses in semiconductor processing and other applications. A method for forming a dielectric film involves providing in a deposition reaction chamber a substrate having a gap on the surface. The gap has a top opening and a surface area comprising a bottom and sidewalls running from the top to the bottom. A conformal silicon oxide-based dielectric film is selectively deposited in the gap by first preferentially applying a film formation catalyst or a catalyst precursor on a portion representing less than all of the gap surface area. The substrate surface is then exposed to a silicon-containing precursor gas such that a silicon oxide-based dielectric film layer is preferentially formed on the portion of the gap surface area. The preferential application of the catalyst or catalyst precursor may occur either at the top of the gap, for example to form a sacrificial mask, or at the bottom of the gap to create a seamless and void-free gap fill.
Owner:NOVELLUS SYSTEMS

Flash Heating in Atomic Layer Deposition

System and methods for flash heating of materials deposited using atomic layer deposition techniques are disclosed. By flash heating the surface of the deposited material after each or every few deposition cycles, contaminants such as un-reacted precursors and byproducts can be released from the deposited material. A higher quality material is deposited by reducing the incorporation of impurities. A flash heating source is capable of quickly raising the temperature of the surface of a deposited material without substantially raising the temperature of the bulk of the substrate on which the material is being deposited. Because the temperature of the bulk of the substrate is not significantly raised, the bulk acts like a heat sink to aid in cooling the surface after flash heating. In this manner, processing times are not significantly increased in order to allow the surface temperature to reach a suitably low temperature for deposition.
Owner:SANDISK TECH LLC

Method for manufacturing susceptor

A method for manufacturing a susceptor includes: forming a concave pattern in a surface of a substrate to be processed; applying a SiC paste containing a SiC powder and a sintering agent to the surface of the substrate to be processed to fill the concave pattern to form a SiC coating layer; laminating a SiC substrate on the SiC coating layer; and firing the SiC coating layer to form a SiC layer having at least one convex section on the surface of the SiC substrate.
Owner:NUFLARE TECH INC

Polysilicon films by hdp-cvd

Methods of forming polysilicon layers are described. The methods include forming a high-density plasma from a silicon precursor in a substrate processing region containing the deposition substrate. The described methods produce polycrystalline films at reduced substrate temperature (e.g. <500° C.) relative to prior art techniques. The availability of a bias plasma power adjustment further enables adjustment of conformality of the formed polysilicon layer. When dopants are included in the high density plasma, they may be incorporated into the polysilicon layer in such a way that they do not require a separate activation step.
Owner:APPLIED MATERIALS INC

Plasma etching method

In a plasma etching method of performing a plasma etching on an amorphous carbon layer of a substrate to be processed by using an inorganic film as a mask, the substrate being mounted in a processing chamber, the plasma etching on the amorphous carbon layer is performed by using O2 gas as a processing gas and the O2 gas to flow in the processing chamber such that a residence time of the O2 gas becomes 0.37 msec or less. The amorphous carbon layer is used as an etching mask of an etching target film formed on the substrate. The plasma etching is performed by using the O2 gas only.
Owner:TOKYO ELECTRON LTD
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Eureka Blog
Learn More
PatSnap group products