Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

57910results about "Sputtering coating" patented technology

Amorphous Oxide And Thin Film Transistor

InactiveUS20070194379A1High ionicityGeneration of oxygen defects is less frequentTransistorVacuum evaporation coatingCharge carrierElectron
The present invention relates to an amorphous oxide and a thin film transistor using the amorphous oxide. In particular, the present invention provides an amorphous oxide having an electron carrier concentration less than 1018 / cm3, and a thin film transistor using such an amorphous oxide. In a thin film transistor having a source electrode 6, a drain electrode 5, a gate electrode 4, a gate insulating film 3, and a channel layer 2, an amorphous oxide having an electron carrier concentration less than 1018 / cm3 is used in the channel layer 2.
Owner:JAPAN SCI & TECH CORP

Transparent conductive film and method for manufacturing the same

A ZnO-based transparent conductive film has practicable moisture resistance, desired characteristics of a transparent conductive film, and excellent economy. The transparent conductive film is produced by growing ZnO doped with a group III element oxide on a substrate and has a region with a crystal structure in which a c-axis grows along a plurality of different directions. The transparent conductive film produced by growing ZnO doped with a group III element oxide on a substrate has a ZnO (002) rocking curve full width at half maximum of about 13.5° or more.ZnO is doped with a group III element oxide so that the ratio of the group III element oxide in the transparent conductive film is about 7% to about 40% by weight.The transparent conductive film is formed on the substrate with a SiNx thin film provided therebetween.The transparent conductive film is formed on the substrate by a thin film formation method with a bias voltage applied to the substrate.
Owner:MURATA MFG CO LTD

Method of forming crystalline semiconductor thin film on base substrate, lamination formed with crystalline semiconductor thin film and color filter

A method of forming a crystalline semiconductor thin film on a base material which can be prepared at a low temperature by simple step and device, the method including a processing step of applying UV-rays to an amorphous semiconductor thin film provided on a base material while keeping a temperature at not less than 25° C. and not more than 300° C. in a vacuum or a reducing gas atmosphere, as well as a substrate having the semiconductor thin film provided on the base material, a substrate for forming a color filter and a color filter using the substrate.
Owner:FUJIFILM BUSINESS INNOVATION CORP

Substrate for growing wurtzite type crystal and method for manufacturing the same and semiconductor device

A laminated structure comprises a first layer comprising a crystal with six-fold symmetry, and a second layer comprising a metal oxynitride crystal formed on the first layer, wherein the second layer comprises at least one element selected from the group consisting of In, Ga, Si, Ge and Al, N, O and Zn, as main elements, and wherein the second layer has in-plane orientation.
Owner:CANON KK

Method of hydrophilizing materials

Coating compositions, methods and articles of manufacture comprising a nanoparticle system employing same to impart surface modifying benefits for all types of soft surfaces, and in some cases, hard surfaces, are disclosed. In some embodiments, dispersement of nanoparticles in a suitable carrier medium allows for the creation of coating compositions, methods and articles of manufacture that create multi-use benefits to the modified surfaces. These surface modifications can produce long lasting or semi-permanent multi-use benefits that, in some embodiments, may include at least one of the following improved surface properties: cleaning, wettability, liquid strike-through, comfort, stain resistance, soil removal, malodor control, modification of surface friction, reduced damage to abrasion and color enhancement, relative to the surfaces unmodified with such nanoparticle systems.
Owner:THE PROCTER & GAMBLE COMPANY

Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)

The present invention relates to an enhanced sequential atomic layer deposition (ALD) technique suitable for deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other conductive, semi-conductive, and non-conductive films. This is accomplished by 1) providing a non-thermal or non-pyrolytic means of triggering the deposition reaction; 2) providing a means of depositing a purer film of higher density at lower temperatures; and, 3) providing a faster and more efficient means of modulating the deposition sequence and hence the overall process rate resulting in an improved deposition method. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims.
Owner:NOVELLUS SYSTEMS

Fabrication of low defectivity electrochromic devices

Prior electrochromic devices frequently suffer from high levels of defectivity. The defects may be manifest as pin holes or spots where the electrochromic transition is impaired. This is unacceptable for many applications such as electrochromic architectural glass. Improved electrochromic devices with low defectivity can be fabricated by depositing certain layered components of the electrochromic device in a single integrated deposition system. While these layers are being deposited and / or treated on a substrate, for example a glass window, the substrate never leaves a controlled ambient environment, for example a low pressure controlled atmosphere having very low levels of particles. These layers may be deposited using physical vapor deposition.
Owner:VIEW INC

Substrate processing apparatus and method for manufacturing semiconductor device

ActiveUS20080264337A1Suppress agitationForeign matterLiquid surface applicatorsVacuum evaporation coatingForeign matterProduct gas
A substrate processing apparatus and a method for manufacturing a semiconductor device whereby foreign matter can be prevented from being adsorbed on the substrate, by suppressing agitation of foreign matter present in the processing chamber. The substrate processing apparatus comprises a processing chamber for processing a substrate; a processing gas feeding line for feeding a processing gas into the processing chamber; an inert gas feeding line for feeding an inert gas into the processing chamber; an inert gas vent line provided in the inert gas feeding line, for exhausting the inert gas fed into the inert gas feeding line without feeding the inert gas into the processing chamber; a first valve provided in the inert gas feeding line, on a downstream side of a part where the inert gas vent line is provided in the inert gas feeding line; a second valve provided in the inert gas vent line; and an exhaust line that exhausts an inside of the processing chamber.
Owner:KOKUSA ELECTRIC CO LTD

Gas distribution showerhead and method of cleaning

During a deposition process, material may deposit not only on the substrate, but also on other chamber components. In a MOCVD chamber, one of those components is the gas distribution showerhead. The showerhead may be cleaned by bombarding the showerhead with radicals generated by a plasma that includes an inert gas and chlorine. In order to generate the plasma, the showerhead may be negatively biased or floating relative to the substrate support. The showerhead may comprise stainless steel and be coated with a ceramic coating.
Owner:APPLIED MATERIALS INC

Method for forming strained silicon nitride films and a device containing such films

A method for forming a strained SiN film and a semiconductor device containing the strained SiN film. The method includes exposing the substrate to a gas including a silicon precursor, exposing the substrate to a gas containing a nitrogen precursor activated by a plasma source at a first level of plasma power and configured to react with the silicon precursor with a first reactivity characteristic, and exposing the substrate to a gas containing the nitrogen precursor activated by the plasma source at a second level of plasma power different from the first level and configured to react with the silicon precursor with a second reactivity characteristic such that a property of the silicon nitride film formed on the substrate changes to provide the strained silicon nitride film.
Owner:TOKYO ELECTRON LTD

Solid precursor-based delivery of fluid utilizing controlled solids morphology

Apparatus and method for volatilizing a source reagent susceptible to particle generation or presence of particles in the corresponding source reagent vapor, in which such particle generation or presence is suppressed by structural or processing features of the vapor generation system. Such apparatus and method are applicable to liquid and solid source reagents, particularly solid source reagents such as metal halides, e.g., hafnium chloride. The source reagent in one specific implementation is constituted by a porous monolithic bulk form of the source reagent material. The apparatus and method of the invention are usefully employed to provide source reagent vapor for applications such as atomic layer deposition (ALD) and ion implantation.
Owner:ENTEGRIS INC

Atomic layer deposition method of depositing an oxide on a substrate

The invention includes atomic layer deposition methods of depositing an oxide on a substrate. In one implementation, a substrate is positioned within a deposition chamber. A first species is chemisorbed onto the substrate to form a first species monolayer within the deposition chamber from a gaseous precursor. The chemisorbed first species is contacted with remote plasma oxygen derived at least in part from at least one of O2 and O3 and with remote plasma nitrogen effective to react with the first species to form a monolayer comprising an oxide of a component of the first species monolayer. The chemisorbing and the contacting with remote plasma oxygen and with remote plasma nitrogen are successively repeated effective to form porous oxide on the substrate. Other aspects and implementations are contemplated.
Owner:MICRON TECH INC

Sublimation bed employing carrier gas guidance structures

Preferred embodiments of the present invention provides a sublimation system employing guidance structures including certain preferred embodiments having a high surface area support medium onto which a solid source material for vapor reactant is coated. Preferably, a guidance structure is configured to facilitate the repeated saturation of the carrier gas with the solid source for a vapor reactant. Methods of saturating a carrier gas using guidance structures are also provided.
Owner:ASM IP HLDG BV

Substrate susceptors for receiving semiconductor substrates to be deposited upon and methods of depositing materials over semiconductor substrates

In one implementation, a substrate susceptor for receiving a semiconductor substrate for selective epitaxial silicon-comprising depositing thereon, where the depositing comprises measuring emissivity of the susceptor from at least one susceptor location in a non-contacting manner, includes a body having a front substrate receiving side, a back side, and a peripheral edge. At least one susceptor location from which emissivity is to be measured is received on at least one of the front substrate receiving side, the back side, and the edge. Such at least one susceptor location comprises an outermost surface comprising a material upon which selective epitaxial silicon will not deposit upon during selective epitaxial silicon depositing on a semiconductor substrate received by the susceptor for at least an initial thickness of epitaxial silicon depositing on said substrate. Other aspects and implementations are contemplated.
Owner:MICRON TECH INC

Electrochromic devices

Prior electrochromic devices frequently suffer from poor reliability and poor performance. Some of the difficulties result from inappropriate design and construction of the devices. In order to improve device reliability two layers of an electrochromic device, the counter electrode layer and the electrochromic layer, can each be fabricated to include defined amounts of lithium. Further, the electrochromic device may be subjected to a multistep thermochemical conditioning operation to improve performance. Additionally, careful choice of the materials and morphology of some components of the electrochromic device provides improvements in performance and reliability. In some devices, all layers of the device are entirely solid and inorganic.
Owner:VIEW INC

Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium

In a film deposition apparatus which deposits a thin film on a substrate by supplying first and second reactive gases in a vacuum chamber, there are provided a turntable, a first reactive gas supplying portion and a second reactive gas supplying portion which are arranged to extend from circumferential positions of the turntable to a center of rotation of the turntable, a first separation gas supplying portion arranged between the first and second reactive gas supplying portions, a first space having a first height and including the first separation gas supplying portion, a second space having a second height and including the second reactive gas supplying portion, a third space having a height lower than the first height and the second height and including the first separation gas supplying portion, a position detecting unit detecting a rotation position of the turntable, and a detection part arranged at a circumferential portion of the turntable and detected by the position detecting unit.
Owner:TOKYO ELECTRON LTD

Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics

Methods of processing films on substrates are provided. In one aspect, the methods comprise treating a patterned low dielectric constant film after a photoresist is removed from the film by depositing a thin layer comprising silicon, carbon, and optionally oxygen and / or nitrogen on the film. The thin layer provides a carbon-rich, hydrophobic surface for the patterned low dielectric constant film. The thin layer also protects the low dielectric constant film from subsequent wet cleaning processes and penetration by precursors for layers that are subsequently deposited on the low dielectric constant film.
Owner:APPLIED MATERIALS INC
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Eureka Blog
Learn More
PatSnap group products