Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

55072results about How to "Improve uniformity" patented technology

Method and system for drying a substrate

A method and system is described for drying a thin film on a substrate following liquid immersion lithography. Drying the thin film to remove immersion fluid from the thin film is performed prior to baking the thin film, thereby reducing the likely hood for interaction of immersion fluid with the baking process. This interaction has been shown to cause non-uniformity in critical dimension for the pattern formed in the thin film following the developing process.
Owner:TOKYO ELECTRON LTD

Film deposition apparatus and film deposition method

The present invention is a film deposition apparatus configured to deposit a film on a substrate that has been loaded into a vacuum container via a transfer opening and placed on a table in the vacuum container, by supplying a process gas to the substrate from a process-gas supply part opposed to the table under a vacuum atmosphere, while heating a table surface of the table, the film deposition apparatus comprising: an elevating mechanism configured to vertically move the table between a process position at which the substrate is subjected to a film deposition process, and a transfer position at which the substrate is transferred to and from an external transfer mechanism that has entered from the transfer opening; a surrounding part configured to surround the table with a gap therebetween, when the table is located at the process position, so that the surrounding part and the table divide an inside of the vacuum container into an upper space, which is located above the table, and a lower space, which is located below the table; a vacuum exhaust conduit in communication with the upper space, through which a process atmosphere in the upper space is discharged to create a vacuum in the upper space; a heating unit configured to heat a gas contact region ranging from the upper space to the vacuum exhaust conduit, to a temperature higher than a temperature allowing adhesion of reactant; and a heat insulation part disposed between the heating unit and a lower part of the vacuum container surrounding the lower space.
Owner:TOKYO ELECTRON LTD

Method of controlling the film properties of PECVD-deposited thin films

We have discovered methods of controlling a combination of PECVD deposition process parameters during deposition of thin films which provides improved control over surface standing wave effects which affect deposited film thickness uniformity and physical property uniformity. By minimizing surface standing wave effects, the uniformity of film properties across a substrate surface onto which the films have been deposited is improved. In addition, we have developed a gas diffusion plate design which assists in the control of plasma density to be symmetrical or asymmetrical over a substrate surface during film deposition, which also provides improved control over uniformity of deposited film thickness.
Owner:APPLIED MATERIALS INC

Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device

In order to fabricate a high performance thin film semiconductor device using a low temperature process in which it is possible to use low price glass substrates, a thin film semiconductor device has been fabricated by forming a silicon film at less than 450 DEG C., and, after crystallization, keeping the maximum processing temperature at or below 350 DEG C. In applying the present invention to the fabrication of an active matrix liquid crystal display, it is possible to both easily and reliably fabricate a large, high-quality liquid crystal display. Additionally, in applying the present invention to the fabrication of other electronic circuits as well, it is possible to both easily and reliably fabricate high-quality electronic circuits.
Owner:INTELLECTUAL KEYSTONE TECH

Speech-enabled server for internet website and method

InactiveUS7050977B1Facilitates query recognitionAccurate best responseData processing applicationsWeb data indexingElectronic mailServer-side
An Internet-based server with speech support for enhanced interactivity is disclosed. This server hosts a server-side speech recognition engine and additional linguistic and database functions that cooperate to provide enhanced interactivity for clients so that their browsing experience is more satisfying, efficient and productive. This human-like interactivity which allows the user to ask queries about topics that range from customer delivery, product descriptions, payment details, is facilitated by the allowing the user to articulate the his or her questions directly in his or her natural language. The answer typically provided in real-time, can also be interfaced and integrated with existing telephone, e-mail and other mixed media services to provide a single point of interactivity for the user when browsing at a web-site.
Owner:NUANCE COMM INC

Tandem UV chamber for curing dielectric materials

An ultraviolet (UV) cure chamber enables curing a dielectric material disposed on a substrate and in situ cleaning thereof. A tandem process chamber provides two separate and adjacent process regions defined by a body covered with a lid having windows aligned respectively above each process region. One or more UV bulbs per process region that are covered by housings coupled to the lid emit UV light directed through the windows onto substrates located within the process regions. The UV bulbs can be an array of light emitting diodes or bulbs utilizing a source such as microwave or radio frequency. The UV light can be pulsed during a cure process. Using oxygen radical / ozone generated remotely and / or in-situ accomplishes cleaning of the chamber. Use of lamp arrays, relative motion of the substrate and lamp head, and real-time modification of lamp reflector shape and / or position can enhance uniformity of substrate illumination.
Owner:APPLIED MATERIALS INC

Thin-film transistor, method of producing the same, and devices provided with the same

A thin-film transistor including an oxide semiconductor layer is disclosed. The oxide semiconductor layer includes a first area, a second area and a third area forming a well-type potential in the film-thickness direction. The first area forms a well of the well-type potential and has a first electron affinity. The second area is disposed nearer to the gate electrode than the first area and has a second electron affinity smaller than the first electron affinity. The third area is disposed farther from the gate electrode than the first area and has a third electron affinity smaller than the first electron affinity. At least an oxygen concentration at the third area is lower than an oxygen concentration at the first area.
Owner:SAMSUNG DISPLAY CO LTD

Shower head of a wafer treatment apparatus having a gap controller

A shower head for adjusting distribution of a reactant gas in a process region of a semiconductor manufacturing reaction chamber, wherein a top plate has a gas port for introducing the reactant gas into the reaction chamber; a face plate, having through holes, disposed opposite the process region; a first baffle plate, having through holes, disposed between the top plate and the face plate and capable of moving up or down, wherein the first baffle plate has a top surface that defines a first gap for forming a first lateral flow passage; a second baffle plate, having through holes, disposed between the first baffle plate and the face plate and capable of moving up or down, wherein the second baffle plate has a top surface that defines a second gap for forming a second lateral flow passage; and a gap controller for determining widths of the first and second gaps.
Owner:SAMSUNG ELECTRONICS CO LTD

Surface preparation prior to deposition on germanium

Methods are provided for treating germanium surfaces in preparation for subsequent deposition, particularly gate dielectric deposition by atomic layer deposition (ALD). Prior to depositing, the germanium surface is treated with plasma products or thermally reacted with vapor reactants. Examples of surface treatments leave oxygen bridges, nitrogen bridges, —OH, —NH and / or —NH2 terminations that more readily adsorb ALD reactants. The surface treatments avoid deep penetration of the reactants into the germanium bulk but improve nucleation.
Owner:ASM IP HLDG BV

Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species

Systems and methods are delineated which, among other things, are for depositing a film on a substrate that is within a reaction chamber. In an exemplary method, the method may comprise applying an atomic layer deposition cycle to the substrate, wherein the cycle may comprise exposing the substrate to a precursor gas for a precursor pulse interval and then removing the precursor gas thereafter, and exposing the substrate to an oxidizer comprising an oxidant gas and a nitrogen-containing species gas for an oxidation pulse interval and then removing the oxidizer thereafter. Aspects of the present invention utilize molecular and excited nitrogen-oxygen radical / ionic species in possible further combination with oxidizers such as ozone. Embodiments of the present invention also include electronic components and systems that include devices fabricated with methods consistent with the present invention.
Owner:ASM IP HLDG BV

Method for Forming Conformal Nitrided, Oxidized, or Carbonized Dielectric Film by Atomic Layer Deposition

A method for forming a film on a patterned surface of a substrate by atomic layer deposition (ALD) processing includes: adsorbing onto a patterned surface a first precursor containing silicon or metal in its molecule; adsorbing onto the first-precursor-adsorbed surface a second precursor containing no silicon or metal in its molecule; exposing the second-precursor-adsorbed surface to an excited reactant to oxidize, nitride, or carbonize the precursors adsorbed on the surface of the substrate; and repeating the above cycle to form a film on the patterned surface of the substrate.
Owner:ASM IP HLDG BV

In-situ atomic layer deposition

An in situ method for forming a HfO2 high-k dielectric layer in a batch wafer processing system. The method comprises first loading a plurality of wafers into a process chamber, and then pre-treating the plurality of wafers in the process chamber with a first oxidizer. After pre-treating the wafers, and without removing the wafers from the process chamber, the method then comprises depositing HfO2 on the plurality of wafers by atomic layer deposition, which comprises a plurality of deposition cycles, each cycle comprising alternating exposure of the plurality of wafers in the process chamber to a second oxidizer and a hafnium precursor. The hafnium precursor is selected from hafnium tert-butoxide (HTB) or hafnium tetra-diethylamide (TDEAH).
Owner:TOKYO ELECTRON LTD

Distributed real time speech recognition system

InactiveUS20050080625A1Facilitates query recognitionAccurate best responseNatural language translationData processing applicationsFull text searchTime system
A real-time system incorporating speech recognition and linguistic processing for recognizing a spoken query by a user and distributed between client and server, is disclosed. The system accepts user's queries in the form of speech at the client where minimal processing extracts a sufficient number of acoustic speech vectors representing the utterance. These vectors are sent via a communications channel to the server where additional acoustic vectors are derived. Using Hidden Markov Models (HMMs), and appropriate grammars and dictionaries conditioned by the selections made by the user, the speech representing the user's query is fully decoded into text (or some other suitable form) at the server. This text corresponding to the user's query is then simultaneously sent to a natural language engine and a database processor where optimized SQL statements are constructed for a full-text search from a database for a recordset of several stored questions that best matches the user's query. Further processing in the natural language engine narrows the search to a single stored question. The answer corresponding to this single stored question is next retrieved from the file path and sent to the client in compressed form. At the client, the answer to the user's query is articulated to the user using a text-to-speech engine in his or her native natural language. The system requires no training and can operate in several natural languages.
Owner:NUANCE COMM INC

Bilayer inlet gas spray nozzle in use for metal-organic chemical vapor deposition device

This invention discloses a two-layer gas inlet blow head of a metal organic chemical gas phase deposit device including a closed shell having an upper gas inlet cavity and a lower gas inlet cavity, an upper escape pipe communicating with the upper gas cavity and reaction chamber is set between the upper-middle and the base plate and a lower escape pipe communicating with a lower gas inlet cavity and the reaction chamber is set between the lower plate and base plate characterizing that diameter of the lower escape pipe is layer than the upper and the upper is put in the lower. A cooling cavity is designed, the first reaction gas enters into the reaction chamber at the substrate surface from the upper and lower escape pipes separately.
Owner:南昌硅基半导体科技有限公司

Thermal process station with heated lid

Methods and apparatuses to improve the temperature uniformity of a workpiece being processed on a heated platen of a thermal processing station. A heated platen is enclosed in a housing incorporating an additional heat source that uniformly outputs thermal energy into the process chamber in which the heated platen is positioned. In preferred embodiments, this heat source is positioned in the lid of the housing. It is additionally preferred that the heated lid includes features that provide a gas flow path to introduce to and / or purge gas from the process chamber. In terms of photoresist performance, the improved thermal uniformity provided by using such an additional heat source in the housing, e.g., in the lid, offers improved line width control and line uniformity across a wafer.
Owner:TEL EPION

Carbon nanotube hybrid system using carbide-derived carbon, a method of making the same, an electron emitter comprising the same, and an electron emission device comprising the electron emitter

A carbon nanotube hybrid system includes: a carbide-derived carbon prepared by reacting a carbide compound and a halogen group containing gas to extract elements of the carbide compound except carbons; metals supported on the carbide-derived carbon or remaining in the carbide-derived carbon; and carbon sources from which carbon nanotubes are grown from the carbide-derived carbon. A method of preparing the carbon nanotube hybrid system includes preparing the carbide-derived carbon, extracting elements therefrom, and growing carbon nanotubes from the carbide-derived carbon. The carbon nanotube hybrid system has excellent uniformity and a long lifetime. An electron emitter having improved electron emitting properties can be inexpensively prepared using the carbon nanotube hybrid system compared to conventional carbon nanotubes. An electron emission device having excellent electron emitting properties can be prepared using the electron emitter.
Owner:SAMSUNG SDI CO LTD

Wafer holder for wafer prober and wafer prober equipped with same

It is an object of the present invention to provide a wafer prober wafer holder that is highly rigid and increases the heat insulating effect, thereby improving positional accuracy, thermal uniformity, and chip temperature ramp-up and cooling rates, as well as a wafer prober device equipped therewith.A wafer holder of the present invention includes a chuck top that mounts a wafer, and a support member that supports the chuck top, wherein, a restricting member is provided that covers an interface between the chuck top and the support member. By covering the gap between the chuck top and the support member with the restricting member, the heat insulating effect can be increased by preventing the flow of outside air through the gap into the support member, and the cooling rate can be particularly improved if cooling to a temperature below room temperature.
Owner:SUMITOMO ELECTRIC IND LTD

Plasma processing apparatus

The invention provides a plasma processing apparatus in which ring-like conductors 8a and 8b are arranged closed to and along an induction antenna 1 composed of an inner circumference coil 1a and an outer circumference coil 1b. Ring-like conductors 8a and 8b are each characterized in that the radius from the center of the apparatus and the cross-sectional shape of the conductor body varies along the circumferential angle of the coils. Since the mutual inductances between the ring-like conductors 8a and 8b and the induction antenna 1 and between the ring-like conductors 8a and 8b and the plasma along the circumferential position are controlled, it becomes possible to compensate for the coil currents varied along the circumference of the coils of the induction antenna 1, and to improve the non-uniformity in the circumferential direction of the current in the generated plasma.
Owner:HITACHI HIGH-TECH CORP

Shower head and plasma processing apparatus having same

A shower head is provided, in a processing chamber in which a substrate is processed, to face a mounting table for mounting the substrate thereon. The shower head includes: a facing surface that faces the mounting table to supply a gas to the substrate in a form of shower through a plurality of gas injection holes formed on the facing surface; an opposing surface provided opposite to the facing surface; and a plurality of bar-shaped heat transfer columns standing on the opposing surface. Here, the heat transfer columns have varying lengths and / or thicknesses to adjust heat capacities thereof. The heat transfer columns are made of one of aluminum, stainless steel, and copper.
Owner:TOKYO ELECTRON LTD

Wafer-Supporting Device and Method for Producing Same

ActiveUS20130014896A1Improve uniformity of film thickness and film propertyReduce contact areaSemiconductor/solid-state device manufacturingSpecial surfacesEngineeringSemiconductor
A wafer-supporting device for supporting a wafer thereon adapted to be installed in a semiconductor-processing apparatus includes: a base surface; and protrusions protruding from the base surface and having rounded tips for supporting a wafer thereon. The rounded tips are such that a reverse side of a wafer is supported entirely by the rounded tips by point contact. The protrusions are disposed substantially uniformly on an area of the base surface over which a wafer is placed, wherein the number (N) and the height (H [μm]) of the protrusions as determined in use satisfy the following inequities per area for a 300-mm wafer:(−0.5N+40)≦H≦53; 5≦N<100.
Owner:ASM JAPAN

Shower plate having different aperture dimensions and/or distributions

A shower plate is adapted to be attached to the showerhead and includes a front surface adapted to face the susceptor; and a rear surface opposite to the front surface. The shower plate has multiple apertures each extending from the rear surface to the front surface for passing gas therethrough in this direction, and the shower plate has at least one quadrant section defined by radii, wherein the one quadrant section has an opening ratio of a total volume of openings of all the apertures distributed in the section to a total volume of the one quadrant section, which opening ratio is substantially smaller than an opening ratio of another quadrant section of the shower plate.
Owner:ASM JAPAN

Method for processing speech signal features for streaming transport

Speech signal information is formatted, processed and transported in accordance with a format adapted for TCP / IP protocols used on the Internet and other communications networks. NULL characters are used for indicating the end of a voice segment. The method is useful for distributed speech recognition systems such as a client-server system, typically implemented on an intranet or over the Internet based on user queries at his / her computer, a PDA, or a workstation using a speech input interface.
Owner:NUANCE COMM INC
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Eureka Blog
Learn More
PatSnap group products