Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

12908results about "Solid state diffusion coating" patented technology

Fabrication of low defectivity electrochromic devices

Prior electrochromic devices frequently suffer from high levels of defectivity. The defects may be manifest as pin holes or spots where the electrochromic transition is impaired. This is unacceptable for many applications such as electrochromic architectural glass. Improved electrochromic devices with low defectivity can be fabricated by depositing certain layered components of the electrochromic device in a single integrated deposition system. While these layers are being deposited and / or treated on a substrate, for example a glass window, the substrate never leaves a controlled ambient environment, for example a low pressure controlled atmosphere having very low levels of particles. These layers may be deposited using physical vapor deposition.
Owner:VIEW INC

Method of controlling the film properties of PECVD-deposited thin films

We have discovered methods of controlling a combination of PECVD deposition process parameters during deposition of thin films which provides improved control over surface standing wave effects which affect deposited film thickness uniformity and physical property uniformity. By minimizing surface standing wave effects, the uniformity of film properties across a substrate surface onto which the films have been deposited is improved. In addition, we have developed a gas diffusion plate design which assists in the control of plasma density to be symmetrical or asymmetrical over a substrate surface during film deposition, which also provides improved control over uniformity of deposited film thickness.
Owner:APPLIED MATERIALS INC

Substrate susceptors for receiving semiconductor substrates to be deposited upon and methods of depositing materials over semiconductor substrates

In one implementation, a substrate susceptor for receiving a semiconductor substrate for selective epitaxial silicon-comprising depositing thereon, where the depositing comprises measuring emissivity of the susceptor from at least one susceptor location in a non-contacting manner, includes a body having a front substrate receiving side, a back side, and a peripheral edge. At least one susceptor location from which emissivity is to be measured is received on at least one of the front substrate receiving side, the back side, and the edge. Such at least one susceptor location comprises an outermost surface comprising a material upon which selective epitaxial silicon will not deposit upon during selective epitaxial silicon depositing on a semiconductor substrate received by the susceptor for at least an initial thickness of epitaxial silicon depositing on said substrate. Other aspects and implementations are contemplated.
Owner:MICRON TECH INC

Electrochromic devices

Prior electrochromic devices frequently suffer from poor reliability and poor performance. Some of the difficulties result from inappropriate design and construction of the devices. In order to improve device reliability two layers of an electrochromic device, the counter electrode layer and the electrochromic layer, can each be fabricated to include defined amounts of lithium. Further, the electrochromic device may be subjected to a multistep thermochemical conditioning operation to improve performance. Additionally, careful choice of the materials and morphology of some components of the electrochromic device provides improvements in performance and reliability. In some devices, all layers of the device are entirely solid and inorganic.
Owner:VIEW INC

Shower plate for plasma processing apparatus and plasma processing apparatus

There is disclosed a shower plate 1, wherein the shower plate has a plurality of holes 3 for inserting the head of the fastening member and holes 4 for fitting the head are formed integrally along a concentric circle in the outside region of the gas feeding holes 2 on a side facing the supporting member, each hole for fitting extending in one direction of the concentric circle from each hole for insertion, each hole for fitting has a groove portion 4b through which the shank of the fastening member is to pass and a fitting portion 4a which is wider than the groove portion and in which the head of the fastening member is to be fitted, and the head of the fastening member fixed in the supporting member is inserted into the hole for insertion of the shower plate and the shower plate is turned so that the head of the fastening member is fitted in the fitting portion, and thereby the shower plate is supported by the supporting member without exposure of the fastening member. There can be provided a shower plate for a plasma processing apparatus, wherein effective diameter is large enough, contamination of a substrate to be treated can be prevented, it is easy to manufacture, and it is easy to fix to a supporting member.
Owner:SHIN ETSU CHEM IND CO LTD

METHOD OF FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N BONDS BY PECVD

A method of forming a conformal dielectric film having Si—N bonds on a semiconductor substrate by plasma enhanced chemical vapor deposition (PECVD) includes: introducing a nitrogen- and hydrogen-containing reactive gas and an additive gas into a reaction space inside which a semiconductor substrate is placed; applying RF power to the reaction space; and introducing a hydrogen-containing silicon precursor in pulses into the reaction space wherein a plasma is excited, thereby forming a conformal dielectric film having Si—N bonds on the substrate.
Owner:ASM JAPAN

Method for forming low-carbon CVD film for filling trenches

A method of forming a low-carbon silicon-containing film by CVD on a substrate having trenches includes: introducing a silicon-containing compound having three or less hydrocarbon units in its molecule and having a boiling temperature of 35° C. to 220° C.; applying RF power to the gas; and depositing a film on a substrate having trenches wherein the substrate is controlled at a temperature such that components of the silicon-containing compound are at least partially liquidified on the substrate, thereby filling the trenches with the film.
Owner:ASM JAPAN

Method for energy-assisted atomic layer deposition and removal

A method for energy-assisted atomic layer deposition and removal of a dielectric film are provided. In one embodiment a substrate is placed into a reaction chamber and a gaseous precursor is introduced into the reaction chamber. Energy is provide by a pulse of electromagnetic radiation which forms radical species of the gaseous precursor. The radical species react with the surface of the substrate to form a radical terminated surface on the substrate. The reaction chamber is purged and a second gaseous precursor is introduced. A second electromagnetic radiation pulse is initiated and forms second radical species. The second radical species of the second gas react with the surface to form a film on the substrate. Alternately, the gaseous species can be chosen to produce radicals that result in the removal of material from the surface of the substrate.
Owner:HELMS JR AUBREY L +3

Hdp-cvd multistep gapfill process

Abstract of the Disclosure A gapfill process is provided using cycling of HDP-CVD deposition, etching, and deposition step. The fluent gas during the first deposition step includes an inert gas such as He, but includes H2 during the remainder deposition step. The higher average molecular weight of the fluent gas during the first deposition step provides some cusping over structures that define the gap to protect them during the etching step. The lower average molecular weight of the fluent gas during the remainder deposition step has reduced sputtering characteristics and is effective at filling the remainder of the gap.
Owner:APPLIED MATERIALS INC

Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)

The present invention relates to an enhanced sequential atomic layer deposition (ALD) technique suitable for deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other conductive, semi-conductive, and non-conductive films. This is accomplished by 1) providing a non-thermal or non-pyrolytic means of triggering the deposition reaction; 2) providing a means of depositing a purer film of higher density at lower temperatures; and, 3) providing a faster and more efficient means of modulating the deposition sequence and hence the overall process rate resulting in an improved deposition method.
Owner:NOVELLUS SYSTEMS

Method of forming thin film

A method for forming a thin film includes: supplying an additive gas, a dilution gas, and a silicon-containing source gas into a reaction chamber wherein a substrate is placed; forming a thin film on the substrate by plasma CVD under a given pressure with a given intensity of radio-frequency (RF) power from a first point in time to a second point in time; at the second point in time, stopping the supply of the silicon-containing source gas; and at the second point in time, beginning reducing but not stopping the RF power, and beginning reducing the pressure, wherein the reduction of the RF power and the reduction of the pressure are synchronized up to a third point in time.
Owner:ASM JAPAN

Method for producing material of electronic device

A process for producing electronic device (for example, high-performance MOS-type semiconductor device) structure having a good electric characteristic, wherein an SiO2 film or SiON film is used as an insulating film having an extremely thin (2.5 nm or less, for example) film thickness, and poly-silicon, amorphous-silicon, or SiGe is used as an electrode. In the presence of process gas comprising oxygen and an inert gas, plasma including oxygen and the inert gas (or plasma comprising nitrogen and an inert gas, or plasma comprising nitrogen, an inert gas and hydrogen) is generated by irradiating a wafer W including Si as a main component with microwave via a plane antenna member SPA. An oxide film (or oxynitride film) is formed on the wafer surface by using the thus generated plasma, and as desired, an electrode of poly-silicon, amorphous-silicon, or SiGe is formed, to thereby form an electronic device structure.
Owner:TOKYO ELECTRON LTD

Nozzle-based, vapor-phase, plume delivery structure for use in production of thin-film deposition layer

A vapor deposition source including a crucible configured to hold a quantity of molten constituent material and at least one nozzle to pass vapor evaporated from the molten constituent material out of the crucible.
Owner:UD TECH CORP +2

Method and apparatus for controlling cooling and heating fluids for a gas distribution plate

The invention provides an apparatus and a method of regulating temperature of a component of a processing chamber comprising providing a thermal conductor thermally connected to the component, providing a controller connected to the thermal conductor, providing at least one temperature sensor connected to the component to supply temperature readings to the controller and regulating heat transfer between the component and the thermal conductor by changing the temperature of the thermal conductor. The invention also provides an apparatus and a method for providing a thermal gradient in a chamber component comprising providing a first thermal conductor at a first temperature attached to the component and providing a second thermal conductor at a second temperature attached to the component.
Owner:APPLIED MATERIALS INC

Plasma processing apparatus and plasma processing method

A plasma processing apparatus comprising at least a plasma processing chamber for plasma-processing an object; object-holding means for disposing the object in the plasma processing chamber; and plasma-generating means for generating a plasma in the plasma processing chamber. The inner wall of the plasma processing chamber is at least partially covered with an oxide film based on a pre-treating plasma. A plasma processing apparatus and a plasma processing method effectively prevent the spluttering and the etching of the inner wall of the plasma processing chamber while suppressing contamination to the object.
Owner:TOKYO ELECTRON LTD

Nanocoated primary particles and method for their manufacture

Particles have an ultrathin, conformal coating are made using atomic layer deposition methods. The base particles include ceramic and metallic materials. The coatings can also be ceramic or metal materials that can be deposited in a binary reaction sequence. The coated particles are useful as fillers for electronic packaging applications, for making ceramic or cermet parts, as supported catalysts, as well as other applications.
Owner:UNIV OF COLORADO THE REGENTS OF

Oxidation apparatus and method for semiconductor process

An oxidation apparatus for a semiconductor process includes a process container having a process field configured to accommodate target substrates at intervals vertically, a heater configured to heat the process field; an exhaust system configured to exhaust gas from inside the process field; an oxidizing gas supply circuit configured to supply an oxidizing gas to the process field; and a deoxidizing gas supply circuit configured to supply a deoxidizing gas to the process field. The oxidizing gas supply circuit includes an oxidizing gas nozzle extending over a vertical length corresponding to the process field, and having gas spouting holes arrayed over the vertical length corresponding to the process field. The deoxidizing gas supply circuit includes deoxidizing gas nozzles having different heights respectively corresponding to zones of the process field arrayed vertically, and each having a gas spouting hole formed at height of a corresponding zone.
Owner:TOKYO ELECTRON LTD

Method of film deposition using activated precursor gases

A method for depositing a film on a substrate is provided. In one aspect, the method includes providing a metal-containing precursor to an activation zone, and activating the metal-containing precursor to form an activated precursor. The activated precursor gas is transported to a reaction chamber, and a film is deposited on the substrate using a cyclical deposition process, wherein the activated precursor gas and a reducing gas are alternately adsorbed on the substrate. Also provided is a method of depositing a film on a substrate using an activated reducing gas.
Owner:APPLIED MATERIALS INC

Atomic Layer Deposition For Controlling Vertical Film Growth

A method for forming a film by atomic layer deposition wherein vertical growth of a film is controlled, includes: (i) adsorbing a metal-containing precursor for film formation on a concave or convex surface pattern of a substrate; (ii) oxidizing the adsorbed precursor to form a metal oxide sub-layer; (iii) adsorbing a metal-free inhibitor on the metal oxide sub-layer more on a top / bottom portion than on side walls of the concave or convex surface pattern; and (iv) repeating steps (i) to (iii) to form a film constituted by multiple metal oxide sub-layers while controlling vertical growth of the film by step (iii). The adsorption of the inhibitor is antagonistic to next adsorption of the precursor on the metal oxide sub-layer
Owner:ASM JAPAN

Method of passivating a gas vessel or component of a gas transfer system using a silicon overlay coating

A method of passivating the interior surface of a gas storage vessel to protect the surface against corrosion. The interior surface of the vessel is first dehydrated and then evacuated. A silicon hydride gas is introduced into the vessel. The vessel and silicon hydride gas contained therein are heated and pressurized to decompose the gase. A layer of silicon is deposited on the interior surface of the vessel. The duration of the silicon depositing step is controlled to prevent the formation of silicon dust in the vessel. The vessel is then purged with an inert gas to remove the silicon hydride gas. The vessel is cycled through the silicon depositing step until the entire interior surface of the vessel is covered with a layer of silicon. The vessel is then evacuated and cooled to room temperature.
Owner:SILCOTEK CORP

Mobile bearing tibial base prosthetic devices employing oxidized zirconium surfaces

An orthopedic implant with a diffusion-hardened surface on non-load bearing areas of the implant for interaction with non-load bearing surfaces of a polymeric bio-compatible material, such as UHMWPE (ultra-high molecular weight polyethylene). The orthopedic implant is a mobile-bearing knee prosthetic and system where a coating of oxidized zirconium is formed on the post of the tibial tray of the prosthetic for interaction with an opening of a polymeric tibial insert. The diffusion-hardened surface of the orthopedic implant provides a strengthened post and reduction in wear in the opening of the polymeric insert.
Owner:SMITH & NEPHEW INC
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Eureka Blog
Learn More
PatSnap group products