Patents
Literature
Patsnap Copilot is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Patsnap Copilot

710 results about "Glass etching" patented technology

Glass etching or “French Embossing,” is a popular technique developed during the mid-1800s that is still widely used in both residential and commercial spaces today. Glass etching comprises the techniques of creating art on the surface of glass by applying acidic, caustic, or abrasive substances. Traditionally this is done after the glass is blown or cast, although mold-etching has replaced some forms of surface etching. The removal of minute amounts of glass causes the characteristic rough surface and translucent quality of frosted glass.

Circuit manufacturing using etched tri-metal media

A process for the formation of an article having multiple electrical circuits comprises:providing a first sub-element comprising in sequence a first metal layer of copper in electrical contact with a second metal layer of aluminum in electrical contact with a third metal layer of copper;etching an electrical circuit design in the first metal layer and in a separate etch step, etching away at least 10%, but less than 100% of the second metal layer to provide electrical connections between the first metal layer and the third metal layer;etching an electrical circuit design into the third metal layer;adhering an etched surface comprising the circuit design of the first or third metal layer to a first surface of a support layer to form a circuit board.The process may etch the first and third metal layers simultaneously or sequentially. After adhering an etched surface comprising the circuit design of the first or third metal layer to a support layer to form a circuit board, an additional step may be performed, which additional step is selected from the group consisting of;a) adhering an etched surface of a second tri-metal subelement to a second surface of the support layer andb) adhering a second support layer to said third or first metal layer, respectively, and adhering an etched surface of a second tri-metal subelement to the second support layer.
Owner:BMC INDS

Process for PECVD of silicon oxide using TEOS decomposition

A high pressure, high throughput, single wafer, semiconductor processing reactor is disclosed which is capable of thermal CVD, plasma-enhanced CVD, plasma-assisted etchback, plasma self-cleaning, and deposition topography modification by sputtering, either separately or as part of in-situ multiple step processing. The reactor includes cooperating arrays of interdigitated susceptor and wafer support fingers which collectively remove the wafer from a robot transfer blade and position the wafer with variable, controlled, close parallel spacing between the wafer and the chamber gas inlet manifold, then return the wafer to the blade. A combined RF/gas feed-through device protects against process gas leaks and applies RF energy to the gas inlet manifold without internal breakdown or deposition of the gas. The gas inlet manifold is adapted for providing uniform gas flow over the wafer. Temperature-controlled internal and external manifold surfaces suppress condensation, premature reactions and decomposition and deposition on the external surface. The reactor also incorporates a uniform radial pumping gas system which enables uniform reactant gas flow across the wafer and directs purge gas flow downwardly and upwardly toward the periphery of the wafer for sweeping exhaust gases radially away from the wafer to prevent deposition outside the wafer and keep the chamber clean. The reactor provides uniform processing over a wide range of pressures including very high pressures. A low temperature CVD process for forming a highly conformal layer of silicon dioxide is also disclosed. The process uses very high chamber pressure and low temperature, and TEOS and ozone reactants. The low temperature CVD silicon dioxide deposition step is particularly useful for planarizing underlying stepped dielectric layers, either alone or in conjunction with a subsequent isotropic etch. A preferred in-situ multiple-step process for forming a planarized silicon dioxide layer uses (1) high rate silicon dioxide deposition at a low temperature and high pressure followed by (2) the deposition of the conformal silicon dioxide layer also at high pressure and low temperature, followed by (3) a high rate isotropic etch, preferably at low temperature and high pressure in the sane reactor used for the two oxide deposition steps. Various combinations of the steps are disclosed for different applications, as is a preferred reactor self-cleaning step.
Owner:APPLIED MATERIALS INC

Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content

ActiveUS20070251917A1Slow deposition rateMinimizing etch stopElectric discharge tubesVacuum gauge using ionisation effectsEngineeringOxygen
A plasma etch process etches high aspect ratio openings in a dielectric film on a workpiece in a reactor having a ceiling electrode overlying the workpiece and an electrostatic chuck supporting the workpiece. The process includes injecting a polymerizing etch process gas through an annular zone of gas injection orifices in the ceiling electrode, and evacuating gas from the reactor through a pumping annulus surrounding an edge of the workpiece. The high aspect ratio openings are etched in the dielectric film with etch species derived from the etch process gas while depositing a polymer derived from the etch process gas onto the workpiece, by generating a plasma in the reactor by applying VHF source power and/or HF and/or LF bias power to the electrodes at the ceiling and/or the electrostatic chuck. The process further includes slowing the deposition rate of the polymer, minimizing etch stop and/or increasing the etch rate in a region of the workpiece typically the center by injecting oxygen or nitrogen and/or high-fluorine containing gas through gas injection orifice in the corresponding region of the ceiling electrode, and adjusting the flow rate of the oxygen or nitrogen and/or high-fluorine containing gas through the gas injection orifice to minimize the difference between profiles and etch depths at the workpiece center and the workpiece periphery.
Owner:APPLIED MATERIALS INC
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products