Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

129 results about "Silicon oxycarbide" patented technology

Silicon oxycarbide and silicon carbonitride based materials for MOS devices

In the preferred embodiment, a gate dielectric and an electrode are formed on a substrate. A pair of spacers is formed along opposite sidewalls of the gate electrode and the gate dielectric. Spacers are preferably formed of SiCO based material or SiCN based material. The source and drain are then formed. A contact etch stop (CES) layer is formed on the source / drain regions and the spacers. The CES layer is preferably formed of SiCO based material or SiCN based material. An Inter-Level Dielectric (ILD) is then formed on the CES layer.
Owner:TAIWAN SEMICON MFG CO LTD

Selective etch for silicon films

A method of etching patterned heterogeneous silicon-containing structures is described and includes a remote plasma etch with inverted selectivity compared to existing remote plasma etches. The methods may be used to conformally trim polysilicon while removing little or no silicon oxide. More generally, silicon-containing films containing less oxygen are removed more rapidly than silicon-containing films which contain more oxygen. Other exemplary applications include trimming silicon carbon nitride films while essentially retaining silicon oxycarbide. Applications such as these are enabled by the methods presented herein and enable new process flows. These process flows are expected to become desirable for a variety of finer linewidth structures. Methods contained herein may also be used to etch silicon-containing films faster than nitrogen-and-silicon containing films having a greater concentration of nitrogen.
Owner:APPLIED MATERIALS INC

Hydrogen ashing enhanced with water vapor and diluent gas

An oxygen-free hydrogen plasma ashing process particularly useful for low-k dielectric materials based on hydrogenated silicon oxycarbide materials. The main ashing step includes exposing a previously etched dielectric layer to a plasma of hydrogen and optional nitrogen, a larger amount of water vapor, and a yet larger amount of argon or helium. Especially for porous low-k dielectrics, the main ashing plasma additionally contains a hydrocarbon gas such as methane. The main ashing may be preceded by a short surface treatment by a plasma of a hydrogen-containing reducing gas such as hydrogen and optional nitrogen.
Owner:APPLIED MATERIALS INC

Copper damascene barrier and capping layer

A method for forming a damascene with improved electrical properties and resulting structure thereof including providing at least one dielectric insulating layer overlying a first etch stop layer; forming an anti-reflectance coating (ARC) layer prior to a photolithographic patterning process; forming at least one opening extending through a thickness portion of the at least one dielectric insulating layer and first etch stop layer according to said photolithographic patterning and an etching process; blanket depositing a barrier layer including material selected from the group consisting of silicon carbide and silicon oxycarbide to line the at least one opening; blanket depositing a refractory metal liner over the barrier layer; blanket depositing at least one metal layer to fill the at least one opening; and, removing at least the at least one metal layer overlying the at least one opening level according to a chemical mechanical polish (CMP) process.
Owner:WU ZHEN CHENG +3

Formation of SiOC thin films

Methods for depositing silicon oxycarbide (SiOC) thin films on a substrate in a reaction space are provided. The methods can include at least one plasma enhanced atomic layer deposition (PEALD) cycle including alternately and sequentially contacting the substrate with a silicon precursor that does not comprise nitrogen and a second reactant that does not include oxygen. In some embodiments the methods allow for the deposition of SiOC films having improved acid-based wet etch resistance.
Owner:ASM IP HLDG BV

Method for depositing a low k dielectric film (k<3.5) for hard mask application

A method for depositing a silicon oxycarbide hard mask on a low k dielectric layer is provided. Substrates containing a silicon oxycarbide hard mask on a low k dielectric layer are also disclosed. The silicon oxycarbide hard mask may be formed by a processing gas comprising a siloxane.
Owner:APPLIED MATERIALS INC

In situ reduction of copper oxide prior to silicon carbide deposition

The invention relates generally to improved silicon carbide deposition during dual damascene processing. In one aspect of the invention, copper oxide present on a substrate is reduced at least partially to copper prior to deposition of a silicon carbide or silicon oxycarbide layer thereon. In the preferred embodiment the reduction is accomplished by contacting the substrate with one or more organic reducing agents. The reduction process may be carried out in situ, in the same reaction chamber as subsequent processing steps. Alternatively, it may be carried out in a module of a cluster tool.
Owner:ASM INTERNATIONAL

Liquid crystal display and manufacturing method thereof

InactiveUS20130250220A1Simple manufacturing processDamage to the supporting layer may be reduced or effectively preventedSemiconductor/solid-state device manufacturingNon-linear opticsLiquid-crystal displaySilicon oxycarbide
A liquid crystal display includes: a substrate; a thin film transistor on the substrate; a pixel electrode which is connected to a terminal of the thin film transistor; a microcavity layer on the pixel electrode and including an injection hole through which material is provided to the microcavity layer; a supporting layer on the microcavity layer; and a capping layer on the supporting layer. The capping layer covers the injection hole, and the supporting layer includes silicon oxycarbide (SiOC).
Owner:SAMSUNG DISPLAY CO LTD

Silicon nitride polishing liquid and polishing method

A silicon nitride polishing liquid for chemical mechanical polishing of a body to be polished in a planarization process for manufacturing of a semiconductor integrated circuit, the body to be polished including at least a first layer containing silicon nitride and a second layer containing at least one silicon-including material selected from the group consisting of polysilicon, modified polysilicon, silicon oxide, silicon carbide, and silicon oxycarbide, the silicon nitride polishing liquid having a pH of 2.5 to 5.0, and including (a) colloidal silica, (b) an organic acid that has at least one sulfonic acid group or phosphonic acid group in the molecular structure thereof and functions as a polishing accelerator for silicon nitride, and (c) water.
Owner:FUJIFILM CORP

Graded composition gate insulators to reduce tunneling barriers in flash memory devices

Flash memory cells are provided that include a first source / drain region and a second source / drain region separated by a channel region. A first gate opposes. A first gate insulator separates the first gate from the channel. The first gate insulator includes a graded composition gate insulator. A second gate is separated from the first gate insulator by a second gate insulator. The above memory cells produce gate insulators with less charging at the interface between composite insulator layers and provide gate insulators with low surface state densities. The memory cells substantially reduce large barrier heights or energy problems by using dielectrics having suitably, adjustably lower barrier heights in contact with the polysilicon floating gate. Such adjustable barrier heights of controlled thicknesses can be formed using a silicon suboxide and a silicon oxycarbide dielectrics prepared according to the process as described herein.
Owner:MICRON TECH INC

Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices

Silicon-oxycarbide optical waveguides and thermooptic devices include a substrate and a first cladding layer having a first refractive index positioned over a substrate. A first core layer comprising silicon, oxygen, and carbon and having a core refractive index is formed on the first cladding layer by chemical vapor deposition using at least two precursors: one inorganic silicon precursor gas and at least one second precursor gas comprising carbon and oxygen. Alternatively, at least three precursors can be used: one inorganic silicon precursor gas, a second precursor comprising carbon, and a third precursor comprising oxygen. The core layer is lithographically patterned to define waveguide elements. A second cladding layer having a second cladding refractive index is formed to surround the optical core waveguiding element of the first core layer.
Owner:INFINERA CORP

Packaging laminate with gas and aroma barrier properties

InactiveUS6338870B1Improved barrier and durability propertyWrappersMolten spray coatingThin oxidePaperboard
A packaging laminate (10) including a substrate film (15) coated with a carbon containing silicon oxide layer (16, 17) on both surfaces is disclosed herein. A method for producing the laminate (10), and blanks and packages fabricated from the laminate are also disclosed herein. The PECVD process of the present invention strains the substrate film (15) during deposition thereby creating a very thin oxide layer with superior durability, oxygen and aroma barrier properties. The carbon-containing silicon oxide coating (16, 17) has a stoichiometry of SiOxCy in which x is witin the range of 1.5-2.2 and y is within the range of 0.15-0.80. The substrate film (15) may include a core layer (12) of a material selected from the group consisting of paper, paperboard, a foamed core, polyethylene terephtalate, polyamide, polyethylene and polypropylene.
Owner:TETRA LAVAL HLDG & FINANCE SA

Method for preparing low dielectric films

A low dielectric constant hydrogenated silicon-oxycarbide (SiCO:H) film is prepared by bringing an organosilicon or organosilicate compound having at least one vinyl or ethynyl group, or a mixture of a saturated organosilicon or organosilicate compound and an unsaturated hydrocarbon into contact with a substrate in the presence of an O2-containing gas plasma.
Owner:POSTECH ACAD IND FOUND

Silicon/silicon oxycarbide/graphite composite negative electrode material

The invention relates to a silicon / silicon oxycarbide / graphite composite negative electrode material which is a silicon-containing material which has the size being lower than 3 microns uniformly and is firmly distributed on the surface of a negative electrode of graphite. The invention further provides a preparation method of the composite negative electrode material. The preparation method comprises the steps of dispersing a silicon-containing material in a liquid organo-siloxane monomer, sequentially adding an acid liquid of alcohol and water, a curing agent and a graphite negative electrode material, then carrying out ball milling or mechanical stirring, pinching and mixing to obtain a paste-type mixture; calcining the paste-type mixture at high temperature under a protective atmosphere, crushing and sieving to obtain different-particle-size silicon / silicon oxycarbide / graphite lithium-ion battery negative electrode material. The silicon-containing materials of the silicon / silicon-oxygen carbon / graphite composite negative electrode material are firmly and uniformly distributed on the surface of a graphite material; due to the structure, the silicon-containing materials can be effectively adsorbed on the surface of the graphite, the self agglomeration of the silicon-containing material can be avoided and the silicon-containing material is prevented from peeling off from the graphite; the silicon / silicon oxycarbide / graphite composite negative electrode material has the characteristics that the charge and discharge specific capacities are adjustable, and the electrochemical cycle stability is high.
Owner:CHINA AUTOMOTIVE BATTERY RES INST CO LTD

FORMATION OF SiOC THIN FILMS

Methods for depositing silicon oxycarbide (SiOC) thin films on a substrate in a reaction space are provided. The methods can include at least one plasma enhanced atomic layer deposition (PEALD) cycle including alternately and sequentially contacting the substrate with a silicon precursor that does not comprise nitrogen and a second reactant that does not include oxygen. In some embodiments the methods allow for the deposition of SiOC films having improved acid-based wet etch resistance.
Owner:ASM IP HLDG BV

Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device

A method of manufacturing a semiconductor device includes the steps of: preparing an underlying structure having a silicon carbide layer covering a copper wiring, and growing silicon oxycarbide on the underlying structure by vapor deposition using, as source gas, tetramethylcyclotetrasiloxane, carbon dioxide gas and oxygen gas, a flow rate of said oxygen gas being at most 3% of a flow rate of the carbon dioxide gas. The surface of the silicon carbide layer of the underlying structure may be treated with a plasma of weak oxidizing gas which contains oxygen and has a molecular weight larger than that of O2 to bring the surface more hydrophilic. Film peel-off and cracks in the interlayer insulating layer decrease.
Owner:FUJITSU LTD

Selective wet etching of metal nitrides

In one embodiment, the present invention relates to a wet etching composition including hydrogen peroxide; an organic onium hydroxide; and an acid. In another embodiment, the invention relates to a method of wet etching metal nitride selectively to surrounding structures comprising one or more of silicon, silicon oxides, glass, PSG, BPSG, BSG, silicon oxynitride, silicon nitride and silicon oxycarbide and combinations and mixtures thereof and / or photoresist materials, including steps of providing a wet etching composition including hydrogen peroxide, an organic onium hydroxide, and an organic acid; and exposing a metal nitride to be etched with the wet etching composition for a time and at a temperature effective to etch the metal nitride selectively to the surrounding structures.
Owner:SACHEM INC

Silicon oxycarbide coatings having durable hydrophilic properties

A silicon oxycarbide coating remains hydrophilic for a significantly longer period of time, on the order of several months, when both (i) the coating index of refraction is 1.70 or more and (ii) the coating thickness is 350 Å or more.
Owner:AGC FLAT GLASS NORTH AMERICA INC

Silicon/silicon oxycarbide/carbon anode material and preparation method and application thereof

The invention discloses a silicon / silicon oxycarbide / carbon anode material and a preparation method and an application thereof. In the silicon / silicon oxycarbide / carbon anode material, ultra-small silicon-oxygen-carbon nanoparticles are evenly dispersed into a carbon substrate to be used as a buffer substrate while silicon nanoparticles are evenly inlaid into the carbon / silicon-oxygen-carbon buffer substrate. The method comprises the following steps: with a thermosetting resin monomer as a solvent system instead of a traditional solvent, dispersing silicon nano powder into the solvent evenly; obtaining a solid block of a silicon / polysiloxane / carbon precursor composite material by solidifying vinylite and a silane coupling agent containing double bonds; and carrying out high-temperature calcination after crushing, and carrying out ball-milling to obtain the silicon / silicon oxycarbide / carbon anode material. According to the method, a traditional organic solvent is not used; solvent post-treatment is avoided; the silane coupling agent is directly polymerized with resin; and carbon and silicon-oxygen-carbon are formed in situ through calcination polymer pyrolysis. With ceramic (silicon-oxygen-carbon) and carbon as the buffer substrates, the stress caused by silicon volume expansion is absorbed; and bad consequences caused by silicon volume expansion are eliminated and reduced.
Owner:NINGBO INST OF MATERIALS TECH & ENG CHINESE ACADEMY OF SCI

Interface-phase-including alumina fibrous fabric reinforced SiOC (silicon oxycarbide) ceramic and preparation method thereof

The invention discloses an interface-phase-including alumina fibrous fabric reinforced SiOC (silicon oxycarbide) ceramic. The interface-phase-including alumina fibrous fabric reinforced SiOC ceramic uses a SiOC ceramic as a matrix and three-dimensional alumina fibrous fabric as a reinforcement, and a sacrificial carbon interface phase is formed between the matrix and the reinforcement. A preparation method of the interface-phase-including alumina fibrous fabric reinforced SiOC ceramic comprises the following steps of first, putting the alumina fibrous fabric into a muffle furnace for carrying out high-temperature oxidation to remove impurities; afterwards, preparing a cracking carbon coating on the surface of the alumina fibrous fabric by utilizing a chemical vapor deposition technique; preparing a precursor solution, carrying out vacuum impregnation on the alumina fibrous fabric coated with the cracking carbon coating with the precursor solution, taking out the vacuum-impregnated alumina fibrous fabric out for air-drying, carrying out low-temperature crosslinking on the air-dried alumina fibrous fabric, and then completing a one-time compaction process through high-temperature ceramization; repeatedly carrying out compaction for at least five times to prepare a SiOC ceramic intermediate product; finally, carrying out subsequent oxidation to sacrifice cracking carbon, so as to prepare a final product. The product provided by the invention is excellent in room-temperature and high-temperature mechanical properties, high in inoxidizability and low in cost, and can be molded in a near-net-size manner.
Owner:NAT UNIV OF DEFENSE TECH

Method for manufacturing semiconductor device

Disclosed is a method for manufacturing a semiconductor device, comprising forming an insulating film above a semiconductor substrate having an element formed thereon, forming an anti-reflection layer that is impermeable to hydrogen on the insulating film, the anti-reflection layer comprising a layer formed of at least one material selected from the group consisting of silicon nitride, silicon oxynitride, chromium oxide, CrOxFy, CrAlxOy, AlSixOy, ZrSixOy, silicon oxycarbide, carbon, chromium nitride, titanium nitride, tantalum nitride, aluminum nitride, TiAlxNy, TaAlxNy, TiSixNy, AlSixNy (where x and y denote the component ratio), and silicon carbide, forming a resist pattern on the anti-reflection layer, forming a hole in the insulating film with the resist pattern used as a mask, burying a conductive material in the hole to form a plug, removing the resist pattern, and forming a ferroelectric capacitor above the anti-reflection layer.
Owner:KK TOSHIBA

Etch rate and critical dimension uniformity by selection of focus ring material

A method and apparatus are provided for plasma etching a substrate in a processing chamber. A focus ring assembly circumscribes a substrate support, providing uniform processing conditions near the edge of the substrate. The focus ring assembly comprises two rings, a first ring and a second ring, the first ring comprising quartz, and the second ring comprising monocrystalline silicon, silicon carbide, silicon nitride, silicon oxycarbide, silicon oxynitride, or combinations thereof. The second ring is disposed above the first ring near the edge of the substrate, and creates a uniform electric field and gas composition above the edge of the substrate that results in uniform etching across the substrate surface.
Owner:APPLIED MATERIALS INC

Reflective solar-control low-emissivity coated glass and preparation method thereof

The invention relates to a reflective solar-control low-emissivity coated glass and a preparation method thereof, belonging to the technical field of glass coating. In order to overcome the defects in the existing coated glass, the glass film combination design is improved: a carbon-doped silicon oxide layer, a stibium-doped tin oxide layer, a fluorine-doped tin oxide layer and a phosphorus-doped titanium oxide layer are sequentially coated on a common float glass substrate. By the effective combination and matching of the film layers, the coated glass has the following advantages: the integral haze of the coated glass is small and controllable; the visible light reflectivity is within the acceptable range, and can not result in light reflection pollution; and the properties (the solar thermal coefficient is smaller than or equal to 0.5, the visible light transmittance is greater than or equal to 45%, and the emissivity is smaller than or equal to 0.20) are perfectly combined.
Owner:河源旗滨硅业有限公司

Glazing panel

A glazing panel has a coating stack comprising in sequence at least a base antireflective layer, an infra-red reflecting layer, a top antireflective layer and a top coat layer comprising in sequence at least two sublayers: a first one consisting essentially of at least one material selected from the group consisting of titanium, titanium oxide and titanium nitride, and a second one, consisting essentially of silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, silicon carbonitride, silicon oxycarbide, or silicon oxycarbonitride. The second topcoat sublayer may have a geometrical thickness in the ranges 15 to 30 AA or 200 to 400 AA.
Owner:AGC GLASS EUROPE SA
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Patsnap Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Patsnap Eureka Blog
Learn More
PatSnap group products